電梯控制器實(shí)驗(yàn)報(bào)告_第1頁
電梯控制器實(shí)驗(yàn)報(bào)告_第2頁
電梯控制器實(shí)驗(yàn)報(bào)告_第3頁
電梯控制器實(shí)驗(yàn)報(bào)告_第4頁
電梯控制器實(shí)驗(yàn)報(bào)告_第5頁
已閱讀5頁,還剩31頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、電子技術(shù)實(shí)驗(yàn)報(bào)告-一電梯控制器的設(shè)計(jì)與分析班級(jí):姓名:學(xué)號(hào):日期:2016年6月25日聯(lián)系電話:一.實(shí)驗(yàn)?zāi)康碾娮蛹夹g(shù)專題實(shí)驗(yàn)是對(duì) 數(shù)字邏輯”課程內(nèi)容的全面、系統(tǒng)的總結(jié)、鞏固和提 高的一項(xiàng)課程實(shí)踐活動(dòng)。根據(jù)數(shù)字邏輯的特點(diǎn),選擇相應(yīng)的題目,在老師的指導(dǎo) 下,由學(xué)生獨(dú)立完成。目的是通過實(shí)驗(yàn)使學(xué)生掌握數(shù)字邏輯電路設(shè)計(jì)的基本方法 和技巧,正確運(yùn)用Quartus U軟件及實(shí)驗(yàn)室多功能學(xué)習(xí)機(jī)硬件平臺(tái),完成所選題 目的設(shè)計(jì)任務(wù),并掌握數(shù)字邏輯電路測(cè)試的基本方法,訓(xùn)練學(xué)生的動(dòng)手能力和思 維方法。通過實(shí)驗(yàn),一方面提高運(yùn)用數(shù)字邏輯電路解決實(shí)際問題的能力 ,另一方 面使學(xué)生更深入的理解所學(xué)知識(shí),為以后的計(jì)算機(jī)硬件課程

2、的學(xué)習(xí)奠定良好的基 礎(chǔ)。項(xiàng)目設(shè)計(jì)概要1. 設(shè)計(jì)實(shí)現(xiàn)的目標(biāo)隨著社會(huì)的發(fā)展,電梯的使用越來越普遍,已從原來只在商業(yè)大廈、賓館使 用,過渡到在辦公樓、居民樓等場所使用,并且對(duì)電梯功能的要求也不斷提高 ,相應(yīng)地其控 制方式也在不停地發(fā)生變化。對(duì)于電梯的控制,傳統(tǒng)的方法是使用繼電器一接觸器控制系 統(tǒng)進(jìn)行控制,隨著技術(shù)的不斷發(fā)展,微型計(jì)算機(jī)在電梯控制上的應(yīng)用日益廣泛 ,現(xiàn)在已進(jìn)入 全微機(jī)化控制的時(shí)代。2. 整體設(shè)計(jì)概述電梯的微機(jī)化控制主要有以下幾種形式:PLC控制:單板機(jī)控制;單片機(jī)控制;單微機(jī)控制:多微機(jī)控制:人工智能控制。隨著EDA技術(shù)的快速發(fā)展,CPLD/FPGA已廣泛應(yīng)用于電子設(shè)計(jì)與控制的各個(gè)方面

3、。本設(shè)計(jì)就是使用一片 CPLD/FPGA來實(shí)現(xiàn)對(duì)電梯的控制的3. 項(xiàng)目設(shè)計(jì)特點(diǎn)我們?cè)陧?xiàng)目設(shè)計(jì)過程中采用模塊化設(shè)計(jì)思想,事先制定了模塊間的接口方案,使得整個(gè)系統(tǒng)的組合變得十分靈活。由于我們?cè)谠O(shè)計(jì)時(shí)為電子鐘和秒表模塊 中都加入了顯示電路,總控模塊可分別與之連接組成一個(gè)分系統(tǒng),便于調(diào)試。在 最終整合時(shí),我們也只需要將兩個(gè)模塊中的顯示電路合二為一即可。三. 系統(tǒng)設(shè)計(jì)方案根據(jù)系統(tǒng)設(shè)計(jì)要求,并考慮到系統(tǒng)的可驗(yàn)證性,整個(gè)系統(tǒng)的輸入輸出接口設(shè)計(jì)如圖1所示:系統(tǒng)工作用 2 Hz基準(zhǔn)時(shí)鐘信號(hào) CLKIN,樓層上升請(qǐng)求鍵UPIN,樓層下降請(qǐng)求鍵DOWNIN,樓層選擇鍵入鍵 ST_CH,提前關(guān)門輸入鍵 CLOSE,延

4、遲關(guān)門輸入鍵 DELAY,電 梯運(yùn)行的開關(guān)鍵 RUN_STOP,電梯運(yùn)行或停止指示鍵LAMP,電梯運(yùn)行或等待時(shí)間指示鍵RUN_WAIT,電梯所在樓層指示數(shù)碼管ST_OUT,樓層選擇指示數(shù)碼管 DIRECT。各輸入端口的功能如下:CLKIN:基準(zhǔn)時(shí)鐘信號(hào),為系統(tǒng)提供2Hz的時(shí)鐘脈沖,上升沿有效;UPIN:電梯上升請(qǐng)求鍵。由用戶向電梯控制器發(fā)出上升請(qǐng)求。高電平有效;DOWNIN:電梯下降請(qǐng)求鍵,由用戶向電梯控制器發(fā)出下降請(qǐng)求。高電平有效;ST_CH2.O:樓層選擇鍵入鍵,結(jié)合DIRECT完成樓層選擇的鍵入,高電平有效;CLOSE提前關(guān)門輸入鍵??蓪?shí)現(xiàn)無等待時(shí)間的提前關(guān)門操作,高電平有效;DELAY

5、:延遲關(guān)門輸入鍵。可實(shí)現(xiàn)有等待時(shí)間的延遲關(guān)門操作,高電平有效;RUN_STOP:電梯運(yùn)行或停止開關(guān)鍵 ??蓪?shí)現(xiàn)由管理員控制電梯的運(yùn)行或停止,高電平有效。一下為輸出端口 :LAMP:電梯運(yùn)行或等待指示鍵,指示電梯的運(yùn)行或等待狀況。高電平有效;RUN_WAIT:電梯運(yùn)行或等待時(shí)間指示鍵,指示電梯運(yùn)行狀況或等待時(shí)間的長短,高電.專業(yè)學(xué)習(xí)資料平有效;ST_OUT:電梯所在樓層指示數(shù)碼管,只是電梯當(dāng)前所在的樓層數(shù)。即15層,高電平有效;DIRECT:樓層選擇指示數(shù)碼管,指示用戶所要選擇的樓層數(shù) ,高電平有效。1.系統(tǒng)功能模塊設(shè)計(jì)示意圖DTKZQLAMPCLKINUPINDOWNINST_CH2.OCLO

6、SEDELAYRUN STOPRUN_WAIT3 旳ST_OUT3.號(hào)DIRECT3 嗎2.項(xiàng)目分塊及其實(shí)現(xiàn)方案電梯的控制狀態(tài)包括運(yùn)行狀態(tài)、停止?fàn)顟B(tài)及等待狀態(tài),其中運(yùn)行狀態(tài)又包含 向上狀態(tài)和向下狀態(tài)。主要?jiǎng)幼饔虚_、關(guān)門,停靠和啟動(dòng)。乘客可通過鍵入開、 關(guān)門按鈕,呼喚按鈕,指定樓層按鈕等來控制電梯的行與停。據(jù)此,整個(gè)電梯控制器DTKZQ應(yīng)包括如下幾個(gè)組成部分:時(shí)序輸出及樓 選計(jì)數(shù)器:電梯服務(wù)請(qǐng)求處理器:電梯升降控制器:上升及下降寄存器; 電梯次態(tài)生成器。該電梯控制器設(shè)計(jì)的關(guān)鍵是確定上升及下降寄存器的置位與復(fù)位。整個(gè)系統(tǒng)的內(nèi)部組成結(jié)構(gòu)圖如圖2所示Vhdl程序:-DTKZQ.VHD-顯示電路LIBR

7、ARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY led ISPORT(LEDIN: IN STD_LOGIC_VECTOR(2 DOWNTO 0);-輸入信號(hào)LEDOUT: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-輸出信號(hào)END LED;ARCHITECTURE ART OF LED IS-結(jié)構(gòu)體BEGINPROCESS(LEDIN)BEGINCASE LEDIN IS-共陰極aWHEN0000=ledoutledoutledoutledoutledoutledo

8、utledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledout=0000000;LED顯示譯碼g f e d c b-其他情況時(shí)燈滅END CASE;END PROCESS;END ART;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DTKZQ ISPORT(CLK:IN STD_LOGIC;UPIN:IN STD_LOGIC;DOWNIN:IN S

9、TD_LOGIC;ST_CH:IN STD_LOGIC;CLOSE:IN STD_LOGIC;DELAY:IN STD_LOGIC;RUN_STOP:IN STD_LOGIC;LAMP:OUT STD_LOGIC;-2 Hz時(shí)鐘輸入信號(hào)-樓層上升請(qǐng)求鍵-樓層下降請(qǐng)求鍵-結(jié)合DIRECT完成樓層選擇的鍵入-提前關(guān)門輸入鍵-延遲關(guān)門輸入鍵-電梯運(yùn)行的開關(guān)鍵-電梯運(yùn)行或停止指示鍵-結(jié)合RUN_WAIT:OUT STD_LOGIC_VECTOR(3DOWNTO 0);LAMP指示電梯運(yùn)行或等待時(shí)間ST_OUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-電梯所在樓層指示數(shù)碼管指

10、示數(shù)碼管END ENTITY DTKZQ;ARCHITECTURE ART OF DTKZQ ISSIGNAL UR,DR:STD_LOGIC_VECTOR(16 DOWNTO 1);-上升或下降樓層請(qǐng)求寄存器SIGNAL DIR,LIFTOR: INTEGER RANGE 0 TO 15;-樓選指示及樓層數(shù)計(jì)數(shù)器SIGNAL WAI_T:STD_LOGIC_VECTOR(2 DOWNTO 0);-運(yùn)行或等待計(jì)數(shù)器SIGNAL DIVID,HAND,CLKIN:STD_LOGIC;-時(shí)鐘 2 分頻和樓選復(fù)位變量SIGNAL LADD:STD_LOGIC_VECTOR(1 DOWNTO 0);-

11、電梯運(yùn)行狀態(tài)變量SIGNAL CLOSEX,DELAYX:STD_LOGIC;-提前關(guān)門及延遲變量BEGIN-內(nèi)部信號(hào)值的輸出DIRECT=CONV_STD_LOGIC_VECTOR(DIR,4)+1;ST_OUT=CONV_STD_LOGIC_VECTOR(LIFTOR,4)+1;RUN_WAIT=0&WAI_T;LAMP=LADD(1);HAND=WAI_T(2)AND(NOT WAI_TAND WAI_T(0);CLOSEX=CLOSE AND(NOT LADD(1);DELAYX=DELAY AND(NOT LADD(1);-分頻進(jìn)程P0:PROCESS(CLK)BEGINIF (CL

12、KEVENT AND CLK=1) THENCLKIN=NOT CLKIN;END IF;END PROCESS P0;-分頻及樓選信號(hào)產(chǎn)生進(jìn)程P1:PROCESS(CLKIN)BEGINIF (CLKINEVENT AND CLKIN=1) THENDIVID=NOT DIVID;IF (DIR=4) THEN DIR=0;ELSE DIRNUM)AND (ST_CH=1)0R (UPIN=1)THENCASE T ISWHEN 1=UR(1)UR(2)UR(3)UR(4)UR(5)UR(6)UR(7)UR(8)UR(9) UR(10) UR(11) UR(12) UR(13) UR(14)

13、 UR(15) UR(16)NULL;END CASE;-電梯運(yùn)行時(shí)間到WHEN 1= UR(1) UR(2) UR(3) UR(4) UR(5) UR(6) UR(7) UR(8) UR(9) UR(1O)v=O;WHEN 11 = UR(11) UR(12) UR(13) UR(14) UR(15) UR(16)NULL;END CASE;END IF;選擇樓層小于當(dāng)前樓層或者有下降請(qǐng)求= DR(1) DR(2) DR(3) DR DR(5) DR(6) DR(7) DR(8) DR(9)=1DR(10)=1DR(11)=1DR(12)=1DR(13)=1DR(14)=1DR(15)=1D

14、R(16)WHEN 11 =WHEN 12 =WHEN 13 =WHEN 14 =WHEN 15 =WHEN 16 =WHEN OTHERS=NULL;END CASE;電梯運(yùn)行時(shí)間到WHEN 1WHEN 2WHEN 3WHEN 4WHEN 5WHEN 6WHEN 7WHEN 8WHEN 9WHEN 10 =WHEN 11 =WHEN 12 =WHEN 13 =WHEN 14 =WHEN 15 =WHEN 16 =ELSE= DR(2) DR(3) DR DR(5) DR(6) DR(7) DR(8) DR(9)=0DR(10)=0DR(11)=0DR(12)=0DR(13)=0DR(14)=

15、0DR(15)=0DR(16)NULL;END CASE;END IF;DRv=OOOOOOOOOOOOOOOO;END IF;END PROCESS P2;-電梯運(yùn)行次態(tài)的控制進(jìn)程P3:PROCESS(UR,DR,DIR,LIFTOR,LADD,WAI_T,RUN_STOP)BEGINIF (RUN_STOP=1) THEN-電梯運(yùn)行時(shí)IF (WAI_T=110) THENIF (UR OR DR)=0000000000000000) THENLADD(1)IF (UR(1)OR DR(1)0) THEN LADD(1)=0;待狀態(tài)ELSE LADDIF (UR(2)OR DR(2)0) T

16、HEN LADD(1)=0;-狀態(tài)OR(UR(1)OR DR(1)=0) THENLADD =11;-上升狀態(tài)ELSE LADDIF (UR(3) OR DR(3)0) THEN LADD(1)0000000000000)OR(UR(2DOWNTO1) OR DR(2DOWNTO 1)=00) THEN LADD=11;ELSE LADDIF (UR(4) OR DR(4)0) THEN LADD(1)000000000000) OR(UR(3 DOWNTO 1)OR DR(3 DOWNTO 1)=000) THEN LADD=11;ELSE LADDIF (UR(5) OR DR(5)0)

17、THEN LADD00000000000)OR(UR(4 DOWNTO 1)OR DR(4 DOWNTO 1)=0000) THEN LADD=11;ELSE LADDIF (UR(6) OR DR(6)0) THEN LADD(1)0000000000)OR(UR(5 DOWNTO 1)OR DR(5 DOWNTO 1)=00000) THEN LADD=11;ELSE LADDIF (UR(7) OR DR(7)0) THEN LADD(1)=0;OR(UR(6 DOWNTO 1)OR DR(6 DOWNTO 1)=000000) THEN LADD=11;ELSE LADDIF (UR(

18、8) OR DR(8)0) THEN LADD(1)00000000)OR(UR(7 DOWNTO 1)OR DR(7 DOWNTO 1)=0000000)THEN LADD=11;ELSE LADDIF (UR(9) OR DR(9)0) THEN LADD(1)0000000)OR(UR(8 DOWNTO 1)THEN LADD=11;ELSE LADDIF (UR(10) OR DR(10)0) THEN LADD(1)000000)OR(UR(9 DOWNTO 1)OR DR(9 DOWNTO 1)=000000000) THEN LADD=11;ELSE LADDIF (UR(11)

19、 OR DR(11)0) THEN LADD(1)00000)OR(UR(10 DOWNTO 1)OR DR(10 DOWNTO 1)=0000000000) THEN LADD=11;ELSE LADD=10;END IF;-電梯在第十二層THEN LADD0000)OR(UR(11 DOWNTO 1)OR DR(11 DOWNTO 1)=00000000000)ELSE LADDIF (UR(13) OR DR(13)0) THEN LADD(1)000)OR(UR(12 DOWNTO 1)ORDR(12DOWNTOTHEN LADD=11;ELSE LADDIF (UR(14) OR D

20、R(14)0) THEN LADD(1)00)OR(UR(13 DOWNTO 1)ORDR(13DOWNTOELSE LADDIF (UR(15)0R DR(15)0) THEN LADD(1)0)OR (UR(14 DOWNTO 1)ORDR(14DOWNTO1)=00000000000000) THEN LADD=11;ELSE LADDIF (UR(16) OR DR(16)0) THEN LADD(1)=0;ELSE LADDNULL;END CASE;END IF;END IF;END IF;END PROCESS P3;-電梯運(yùn)行樓層計(jì)數(shù)及提前/延遲關(guān)門控制進(jìn)程P4:PROCESS

21、(DIVID,WAI_T,LADD,CLOSEX,DELAYX)BEGINIF (DIVIDEVENT AND DIVID=1) THEN-分頻后的時(shí)鐘上升沿IF (WAI_T=000 OR CLOSEX=1) THEN WAI_T=110;ELSEIF (DELAYX=0)THEN WAI_T=WAI_T-1;ELSE WAI_T=010;END IF;-電梯處于運(yùn)行狀態(tài)IF(WAI_T=001) THENIF (LADD=11) THEN-電梯上升,樓層加1LIFTOR=LIFTOR+1;ELSIF (LADD=10) THEN LIFTOR=LIFTOR-1;END IF;END IF;

22、END IF;END IF;END PROCESS P4;END ART;-頂層模塊設(shè)計(jì)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY TOP ISPORT(CLK:IN STD_LOGIC; -2 Hz 時(shí)鐘輸入信號(hào)UPIN:IN STD_LOGIC;-樓層上升請(qǐng)求鍵DOWNIN:IN STD_LOGIC;-樓層下降請(qǐng)求鍵ST_CH:IN STD_LOGIC; -結(jié)合DIRECT完成樓層選擇的鍵入CLOSE:IN STD_LOGIC;-提前關(guān)門輸入鍵DELAY:IN STD_LOGIC;-延遲關(guān)門輸入鍵RUN_STOP:IN STD_LOGIC

23、;-電梯運(yùn)行的開關(guān)鍵LAMP:OUT STD_LOGIC;-電梯運(yùn)行或停止指示鍵RUN_WAIT:OUT STD_LOGIC_VECTOR(6DOWNTO 0);-結(jié)合LAMP指示電梯運(yùn)行或等待時(shí)間ST_OUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-電梯所在樓層指示數(shù)碼管指示數(shù)碼管END TOP;ARCHITECTURE ART OF TOP ISCOMPONENT LED ISPORT(LEDIN: IN STD_LOGIC_VECTOR(2 DOWNTO 0);-輸入信號(hào)LEDOUT: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-輸出信號(hào)END COMPONENT LED;COMPONENT DTKZQ ISPORT(CLK:IN STD_LOGIC; -2 Hz 時(shí)鐘輸入信號(hào)UPIN:IN STD_LOGIC;-樓層上升請(qǐng)求鍵DOWNIN:IN STD_L

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論