基于FPGA的數字系統(tǒng)設計—三層電梯控制器_第1頁
基于FPGA的數字系統(tǒng)設計—三層電梯控制器_第2頁
基于FPGA的數字系統(tǒng)設計—三層電梯控制器_第3頁
基于FPGA的數字系統(tǒng)設計—三層電梯控制器_第4頁
基于FPGA的數字系統(tǒng)設計—三層電梯控制器_第5頁
已閱讀5頁,還剩29頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、 論文題目: 基于fpga的數字系統(tǒng)設計 三層電梯控制器 摘 要介紹了基于vhdl語言設計的電梯控制器,并進行了電路綜合和仿真。該控制器遵循方向優(yōu)先的原則,提供3個樓層多用戶的載客服務并指示電梯的運行情況。eda技術不是某一學科的分支,或某種新的技能技術,它是一們綜合性學科,融合多學科于一體,打破了軟件和硬件間的壁壘,使計算機的軟件與硬件實現、設計效率和產品性能合二為一,它代表了電子設計技術和應用技術的發(fā)展方向。vhdl具有與具體硬件電路無關和設計平臺無關的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語言易讀性和層次化結構化設計方面,表現了強大的生命力和應用潛力。eda是指以計算機為

2、工作平臺,融合了應用電子技術、計算機技術、信息處理及智能化技術的最新成果,進行電子產品的字自動設計。關鍵詞:vhdl、控制器、eda、電梯abstractintroduced based on the vhdl language design elevator controller, and has carried on the electric circuit synthesis and the simulation. this controller follows the direction first principle, provides 3 floors multiuser to c

3、arry passengers to serve and to instruct the elevator the movement situation. the eda technology is not some discipline branch, or some kind of new of skill technology, it is a comprehensive discipline, fuses multily-disciplinary in a body, has broken barrier between the software and the hardware, c

4、auses the computer the software and the hardware realization, the rated capacity and the product performance combines, it has represented the electronic design technology and the application technology development direction. vhdl has with the concrete hardware electric circuit has nothing to do with

5、 and designs the characteristic which the platform has nothing to dowith, and has the good electric circuit behavior description and the system description ability, and in language legible and has orderliness the structurization design aspect, has displayed the formidable vitality and the applicatio

6、n potential. eda is refers take the computer as the working platform, fused the application electronic technology, computer technology, the information processing and the intellectualized technology newest achievement, carries on the electronic products automatic to design.key word: vhdl, controller

7、, eda, elevator目 錄第1章 引言.11.1設計內容簡介.11.2選題背景.2第2章 vhdl、eda語言分析.32.1 vhdl的基本技術特征.32.2 eda概況.42.3當今eda技術綜述.5第3章 電梯控制器的具體設計. 83.1簡要說明 . 83.2電梯控制器的任務和要求 83.3電梯控制器的設計 .83.4模塊、模塊功能及相應程序 123.5仿真結果 . 20第4章 硬件連線及管腳設置 4.1 簡要說明 .4.2 8位數字開關組的設置與連接 4.3 7段數碼管電路連線與使用(動態(tài)方式) 總結.32 致謝.33參考文獻 33第1章 引言11設計內容簡介 現代硬件設計運用

8、eda(electronic desion automation)技術采用并行工程和“自頂向下”的設計方法,從系統(tǒng)設計入手,在頂層進行層次劃分和結構設計。在功能模塊一級進行方針、糾錯,并用vhdl、veriloghdl等硬件描述語言對高層次的系統(tǒng)行為進行描述。在系統(tǒng)一級進行驗證,最后用邏輯綜合優(yōu)化工具生成門級邏輯電路網表,其對應的物理實現可以是印刷電路板或專用集成電路。eda技術就是依賴功能強大的計算機,在eda工具軟件平臺上,對以硬件描述語言hdl(hardware description language)為系統(tǒng)邏輯描述手段完成的設計文件,自動地完成邏輯編輯、邏輯化簡、邏輯分割、邏輯綜合、

9、結構綜合(布局布線),以及邏輯優(yōu)化和仿真測試,直至實現既定的電子線路系統(tǒng)功能。eda技術使得設計者工作僅限于利用軟件的方式,即利用硬件描述語言和eda軟件來完成對系統(tǒng)硬件功能的實現。 eda技術在硬件實現方面融合了大規(guī)模集成電路制造技術,ic版圖設計技術、asic測試和封裝技術、fpga/cpld編程下載技術、自動測試技術等;在計算機輔助工程方面融合了計算機輔助設計(cad)、計算機輔助制造(cam)、計算機輔助測試(cat)、計算機輔助工程(cae)技術以及多種計算機語言的設計概念;而在現代電子學方面則容納了更多的內容,如電子線路設計理論、數字信號處理技術、數字系統(tǒng)建模和優(yōu)化技術及長線技術理

10、論等等。因此eda技術為現代電力理論和設計的表達與實現提供了可能性。eda技術不是某一學科的分支,或某種新的技能技術,它是一們綜合性學科,融合多學科于一體,打破了軟件和硬件間的壁壘,使計算機的軟件與硬件實現、設計效率和產品性能合二為一,它代表了電子設計技術和應用技術的發(fā)展方向。利用eda技術進行電子系統(tǒng)的設計,最后目標是完成專用繼承電路asic的設計和實現,asic作為最終的物理平臺,集中容納了用戶通過eda技術將電子應用系統(tǒng)的既定功能和技術指標具體實現的硬件實體。vhdl(very high speed integrated circuit hardware description lang

11、uage)即超高速集成電路硬件描述語言,主要用于描述數字系統(tǒng)的行為、結構、功能和接口。vhdl不僅可以作為系統(tǒng)模擬的建模工具,而且可以作為電路系統(tǒng)的設計工具;可以利用軟件工具將vhdl源碼自動地轉化為文本方式表達的基本邏輯元件連接圖,即網表文件。vhdl語言具有很強的電路描述和建模能力,能從多個層次對數字系統(tǒng)進行建模和描述,從而大大簡化了硬件設計任務,提高了設計效率和可靠性。vhdl具有與具體硬件電路無關和設計平臺無關的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語言易讀性和層次化結構化設計方面,表現了強大的生命力和應用潛力。vhdl在支持各種模式的設計方法、自頂向下與自底向上或混合

12、方法方面,在面對當今許多電子產品生命周期的縮短,需要多次重新設計以溶入最新技術,改變工藝等方面都表現了良好的適應性。用vhdl進行電子系統(tǒng)的設計的一個很大的特點是設計者可以專心致力于其功能的實現,而不需要對不影響功能的與工藝有關的因素花費過多的時間和精力。本文采用vhdl語言來設計實用電梯控制器,其代碼具有良好的可讀性和易理解性。目標器件選用fpga器件。1.2 選題背景隨著科學技術的發(fā)展、近年來,我國的電梯生產技術得到了迅速發(fā)展一些電梯廠也在不斷改進設計、修改工藝。更新換代生產更新型的電梯,電梯主要分為機械系統(tǒng)與控制系統(tǒng)兩大部份,隨著自動控制理論與微電子技術的發(fā)展,電梯的拖動方式與控制手段均

13、發(fā)生了很大的變化,交流調速是當前電梯拖動的主要發(fā)展方向。目前電梯控制系統(tǒng)主要有三種控制方式:繼電路控制系統(tǒng)(早期安裝的電梯多位繼電器控制系統(tǒng))、fpga/cpld控制系統(tǒng)、微機控制系統(tǒng)。繼電器控制系統(tǒng)由于故障率高、可靠性差、控制方式不靈活以及消耗功率大等缺點,目前已逐漸被淘汰。微機控制系統(tǒng)雖在智能控制方面有較強的功能,但也存在抗擾性差,系統(tǒng)設計復雜,一般維修人員難以掌握其維修技術等缺陷。而fpga/cpld控制系統(tǒng)由于運行可靠性高,使用維修方便,抗干擾性強,設計和調試周期較短等優(yōu)點,倍受人們重視等優(yōu)點,已成為目前在電梯控制系統(tǒng)中使用最多的控制方式,目前也廣泛用于傳統(tǒng)繼電器控制系統(tǒng)的技術改造。目

14、前國內七八十年代安裝的許多電梯電氣部分用繼電器接觸器控制系統(tǒng),線路復雜,接線多,故障率高,維修保養(yǎng)難,許多已處于閑置狀態(tài),其拽引系統(tǒng)多采用交流雙速電機系統(tǒng)換速,效率低,調速性能指標較差,嚴重影響電梯運行質量。由于這些電梯交流調壓調速系統(tǒng),交流雙速電機拖動系統(tǒng)性能及乘坐舒適感較差,交流調壓調速系統(tǒng)屬能耗型調速的機械部分無大問題,為節(jié)約資金,大部分老式電梯用戶希望對電梯的電氣控制系統(tǒng)進行改造,提高電梯的運行性能。因此對電梯控制技術進行研究,尋找適合我國老式電梯的改造方法具有十分重要的意義。電梯作為高層建筑物的重要交通工具與人們的工作和生活日益緊密聯系。fpga/cpld作為新一代工業(yè)控制器,以其高

15、可靠性和技術先進性,在電梯控制中得到廣泛應用,從而使電梯由傳統(tǒng)的繼電器控制方式發(fā)展為計算機控制的一個重要方向,成為當前電梯控制和技術改造的熱點之一。fpga/cpld是一種專門從事邏輯控制的微型計算機系統(tǒng)。由于fpga/cpld具有性能穩(wěn)定、抗干擾能力強、設計配置靈活等特點。因此在工業(yè)控制方面得到了廣泛應用。自90年代后期fpga/cpld引入我國電梯行業(yè)以來,由fpga/cpld組成的電梯控制系統(tǒng)被許多電梯制造廠家普遍采用。并形成了一系列的定型產品。在傳統(tǒng)繼電器系統(tǒng)的改造工程中,fpga/cpld系統(tǒng)一直是主流控制系統(tǒng)。電梯控制系統(tǒng)分為調速部分和邏輯控制部分。調速部分的性能對電梯運行是乘客的

16、舒適感有著重要影響,而邏輯控制部分則是電梯安全可靠運行的關鍵。為了改善電梯的舒適感和運行的可靠性,現在都改為用fpga/cpld來控制電梯的運行,這樣大大提高了電梯的性能。fpga(fiela programmable gates array,現場可編程門陣列)與cpld (cornplex programmable logic device ,復雜可編程邏輯器件)都是可編程邏輯器件,它們是在pal、gal等邏輯器件的基礎之上發(fā)展起來的。同以往的pal, gal.等相比較,fpga/cpld的規(guī)模比較大,適合于時序、組合等邏輯電路應用場合,它可以替代幾十甚至上百塊通用ic芯片。這樣的fpga/

17、cpld實際上就是一個子系統(tǒng)部件。這種芯片具有可編程性和實現方案容易改動的特點。由于芯片內部硬件連接關系的描述可以存放在磁盤、rom , prom或eprom中,因而在可編程門陣列芯片及外圍電路保持不動的情況下,換一塊eprom芯片,就能實現一種新的功能。fpga芯片及其開發(fā)系統(tǒng)問世不久,就受到世界范圍內電子工程設汁人員的廣泛關注和普遍歡迎。所以,綜上所述,本設計就以fpga/cpld作為工具對升降電梯的各種操作進行控制。我打算先對六層電梯的硬件部分作分析,看需要什么樣的開關,電機,信號燈等。,然后在軟件設計,寫出流程圖,寫出語句。最后是進行調試,看看此程序是否可行。第2章 vhdl、eda語

18、言簡介vhdl語言產生于80年代,它的全稱是“超高速集成電路硬件描述語言(vhsic hardware description language), vhdl的結構和方法受到da語言的影響,吸收了其它硬件描述語言的某些優(yōu)點,1986年3月,iee開始致力于vhdl的標準化工作,為此,成立了審查和完善vhdl的標準化小組。1987年12月,ieee推出了ieee std1076-1987. vhdl語言成為iee標準以后,很快在世界各地得到廣泛應用。1995年,中國國家技術監(jiān)督局組織編撰并出版了cad通用技術規(guī)范,推薦vhdl作為我國電子設計自動化(eda)硬件描述語言的國家標準。為了增強vhd

19、l語言的描述能力,方便設計應用,ieee在廣泛征集各方面意見的基礎上,對ieee std 1076-1987進行了改進和擴充。修訂版與1993年4月成為美國國家標準局(ansi)標準。并于同年9月被ieee認可為標準,即ieee std 1076-1993.vhdl己經作為最重要的一種描述和驗證硬件的標準被廣泛接受,并逐步取代了原有的非標準硬件描述語言。多數電子設計自動化廠商都提供了支持標準vhdl綜合和驗證環(huán)境?,F在,vhdl和verilog作為iee工業(yè)標準硬件描述語言,得到了眾多eda公司的持,已經成為了事實上的通用硬件描述語言。2.1 vhdl的基本技術特征vhdl是一種獨立于實現技術

20、的語言,它不受某一種特定工藝的束縛,允許使用者在其范圍內選擇工藝和方法,為了適應未來的數字硬件技術,vhdl還提供將新技術引入現有設計的潛力。vhdl是一個在程序設計語言的意義上全類型化的語言,即所有硬件部件和元件的描述必須給出明確的類型。語言的語法分析程序可以檢查描述的類型的一致性。支持硬件設計的層次設計方法,支持從抽象的系統(tǒng)規(guī)范到詳細的門級描述,支持以模擬為主的驗證過程。它具有豐富的數據類型、并發(fā)和順序的語言構件,它不受某一特定工藝的束縛,允許設計者在其使用范圍內選擇工藝和方法。vhdl語言的最大特點是描述能力極強,覆蓋了邏輯設計的諸多領域和層次,并支持眾多的硬件模型。具體而言,vhdl較

21、其他的硬件描述語言有如下優(yōu)越之處1.描述方式上,支持從系統(tǒng)級到門級電路的描述,同時也支持多層次的混合描述;描述形式可以是結構描述,也可以是行為描述,或者二者兼而有之;2.設計方法上,既支持自底向上(botom-up)的設計,也支持自頂向下(top-down)的設計;既支持模塊化設計,也支持層次化設計;支持大規(guī)模設計的分解和設計重用;3.既支持同步電路,也支持異步電路;既支持同步方式,也支持異步方式;4.既支持傳輸延遲,也支持慣性延遲,可以更準確地建立復雜的電路硬件模型;5.數據類型豐富,既支持預定義的數據類型,又支持自定義的數據類型;vhdl是強類型語言,設計電路的安全性好;6.支持過程與函數

22、的概念,有助于設計者組織描述,對行為功能進一步分類:7.提供了將獨立的工藝集中于一個設計包的方法,便于作為標準的設計文檔保存,也便于設計資源的重用。8. vhdl的類屬提供了向設計實體傳送環(huán)境信息的能力;9. vhdl的斷言語句可用來描述設計實體本身的約束信息,支持設計直接在描述中書寫錯誤和約束條件,不僅便于模擬調試,而且為綜合化簡提供了重要信息。2.2 eda概況eda(electronic design automatic)技術是在電子cad (computer aided design)技術基礎上發(fā)展起來的計算機軟件系統(tǒng),是指以計算機為工作平臺,融合了應用電子技術、計算機技術、信息處理及

23、智能化技術的最新成果,進行電子產品的自動設計。利用eda工具,可以將電子產品從電路設計、性能分析到計出集成電路(ic:integrated circuits)版圖或印刷電路板(pcb: printedcircuit board)制圖的整個過程都在計算機上自動處理完成。在機械、電子、通信、空航天、化工.礦產、生物、醫(yī)學、軍事等各個領域,eda技術都得到廣泛應用。當前eda技術的發(fā)展趨勢是政府重視、使用普及、應用廣泛、品種多樣、eda工具軟件功能強大。為了迅速推動中國eda產業(yè)的迅速發(fā)展,中國自1995年以來加速發(fā)展半導體產業(yè),尤其是在近幾年更是加大了對此行業(yè)的扶持力度,建立了多個國家級集成電路設

24、計產業(yè)化基地,推動系列設計活動以應對亞太地區(qū)其它eda市場的競爭。當前中國eda市場已漸趨成熟,據最新統(tǒng)計顯示,中國止在成為電子設計自動化領域發(fā)展最快的市場,年復合增民率達到了50%.不過大部分設計ivy.師面向的是pc主板和小型asic領域,僅有小部分(約11%)的設計人員開發(fā)復雜芯片上系統(tǒng)器件。為了與臺灣和美國的設計工程師形成更有力的競爭,中國的設計隊伍迫切需要應用最新的eda具產品,參與和完成大規(guī)模,深層次的研發(fā)工作。2.3當今eda技術綜述隨著眾多先進的技術和工藝,尤其是數字信號處理(dsi)技術和集成電路(ic)工藝,在電子技術中的廣泛應用,當今所有的電子工程技術都在向著高速度、大容

25、量、小型化、模塊化的方向發(fā)展,力求以最少量的元器件、最小的體積重量來完成更強、更多的功能,并盡可能地縮短產品從概念提出、系統(tǒng)設計到投人使用的時間,這樣才會有市場競爭力.由于電子技術逐漸深人到工業(yè)農業(yè)、國防軍事、家庭生活等各個領域,要求各種工程技術人員都能熟悉微電子技術和半導體工藝是不可能的;并且由于電子技術領域本身各項關鍵技術和先進工藝的迅速推廣使用,電子工程師用傳統(tǒng)的方法設計產品也將顯得力不從心,電子設計自動化(electronic designautomation-eda)技術正是為了適應這一需要而在近幾年中發(fā)展起來的全新的設計方法.電子設計自動化(eda)技術是計算機輔助設計與制造(ca

26、d/cam)技術在電子系統(tǒng)與設備中應用的一個嶄新階段。它是以高性能的計算機為工作平臺,綜合了計算機圖形學、邏輯拓撲學、計算數學、人工智能、電子線路和微電子技術等許多學科領域的最新成就和發(fā)展而形成的一整套軟件工具,用來幫助工程技術人員從事電子系統(tǒng)、電子線路和電子元器件設計的一門范圍涉及到有關電子學各個領域的綜合性邊緣技術。當今的eda技術是以系統(tǒng)級的仿真與綜合,vhdl語言描述,自頂向下(top-down)的設計方法,集成的并行設計環(huán)境,以及圖形化的編程方式為特征的。具體地說,它有以下特點:(l)從概念提出,系統(tǒng)劃分,到電路設計,物理實現的全過程自動化設計成為現實。設計本身與工藝脫離,最后的物理

27、實現可以根據不同的需要采取多種方式來完成. (2)采用自頂向下的設計流程和不同層次間的并行設計,以確保設計一次成功,而設計人員只要把精力集中在系統(tǒng)概念和方案的優(yōu)化上。(3)所有不同層次的設計、仿真、測試和接口工具都集中在統(tǒng)一的開放環(huán)境之中,它們都有統(tǒng)一的原始模型、統(tǒng)一的數據格式與數據庫管理直至統(tǒng)一的人機界面,使得不同專業(yè)、不同廠家的工程設計人員的勞動成果,可以在各個層次上相互調用.(4)所有的設計結果都以符合ieee 1076標準的vhdl語言存檔,使成果的存貯、共享、轉化、改進都得以方便地進行。(5)在設計時就考慮到成果的測試與驗證,在eda設計中一般需要四級驗證:功能驗證、邏輯驗證、時序驗

28、證和故障驗證.每一個設計過程都能產生出完整的測試代碼,為設計結果的測試檢驗提供了極大的方便.超級計算機的c代碼圖 2-2采用eda技術進行設計的總體流程圖2-2采用eda技術進行設計的總體流程eda技術依托于各類通用的集成電路制造工藝和高性能的數字信號處理專用片,各種全定制、半定制集成電路技術,使系統(tǒng)的設計考慮獨立于生產工藝成為現實,把設計人員從繁重的手工編程、印制板(pcb)布線或系統(tǒng)測試(聯調)等重復勞動中解放出來,使他們集中精力于系統(tǒng)的概念設計和方案優(yōu)化上.圖1-1給出了采用eda技術進行系統(tǒng)設計的總體流程.從圖中可以看出,eda技術為設計人供了從算法描述、系統(tǒng)仿真、指標優(yōu)化、電路仿真直

29、到物理實現等各個層次的一整套集成設計工具和環(huán)境。設計者根據系統(tǒng)的各項指標和功能建立系統(tǒng)模型,以框圖或語言的形式給出算法描述后,軟件工具自動進行系統(tǒng)功能仿真,并優(yōu)化指標。在完成高層次仿真之后,軟件工具可以進人電路級仿真.其間也可以人為地進行多層次的修改和反饋.最后軟件工具以圖形、文本等多種方式給出設計結果。這時設計者可以根據自己的需要,利用與物理實現的接口工,選擇多種不同的實現方法.軟件實現如直接生成dsp芯片的匯編代、c語言代碼,或以pcb , asic或fpgaiepld等硬件的方法來實具碼現目前世界上主要的eda廠商有cadence design system inc. ,mentor g

30、raphics inc.,synopsysinc,dazix-intergraph inc等.第3章 電梯控制器的具體設計3.1簡要說明利用vhdl語言完成一個多層自動升降的電梯的控制電路,控制電路遵循方向優(yōu)先原則控制電梯完成多層樓的載客服務,同時指示電梯運行情況和電梯內外請求信息。3.2電梯控制器的任務和要求(1)每層電梯入口處設有上下請求開關,電梯內設有乘客到達層次的停站請求開關。(2)設有電梯所處位置指示裝置級電梯運行模式(上升或下降)指示裝置。(3)電梯每秒升(降)一層樓。(4)電梯到達停站請求的樓層后,經過1s電梯門打開,開門指示燈亮,開門4s后,電梯門關閉(開門指示燈滅),電梯繼續(xù)

31、運行,直至執(zhí)行完最后一個請求信號后停在當前層。(5)能記憶電梯內外的所有請求信號,并按照電梯運行規(guī)則次序響應,每個請求信號保留至執(zhí)行后消除。(6)電梯運行規(guī)則:當電梯處于上升模式時,只響應比電梯所在位置高的上樓請求信號,有下而上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢,如更高層有下樓請求,則直接升到有下樓請求的最高樓接客,然后便進入下降模式。當電梯處于下降模式時,則與上升模式相反。(7)電梯初始狀態(tài)為一層開門。3.3電梯控制器的設計控制器的功能模塊如圖1所示,包括主控制器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達的樓層,同過主控制器的處理,電梯開始運行,狀態(tài)

32、顯示器顯示電梯的運行狀態(tài)。電梯所在的樓層數通過譯碼器譯碼從而在樓層顯示器中顯示。分控制器把有效的請求傳給主控制器進行處理,同時顯示電梯的運行狀態(tài)和電梯所在的樓層數。由于控制器相對簡單很多,所以主控制器是核心部分。樓層顯示器樓層顯示器譯碼器狀態(tài)顯示器主控制器分控制器 圖1 電梯控制器原理框圖主控制器系統(tǒng)由vhdl語言實現,其輸入輸出端口定義如下:library ieeeuse ieee std_loigic_unsigned.allentity ladder 3 isporl(clk,switch,close,delay:std_logic; /時鐘、開關、提前開門、延時關門 dir: in s

33、td_logic_vector(2 down to 0); /所選的樓層 up lift、down lift : in std_logic_vector(3 down to 0); /分控制器上升、下降請求qout : out std_logic_vector(3 down to 0); /所到達的樓層lamp : out std_logic_vector(1 down to 0); /電梯運行狀態(tài)end ladder 3結構體分為3個進程,分別完成寄存器的置位復位、電梯運行狀態(tài)判斷、時序電路及電梯運行樓層變化和響應提前延時請求。程序中定義urr(3b上升寄存器),drr(3b的下降寄存器),

34、ur(上升寄存器各位相或),dr(下降寄存器各位相或),let(判斷狀態(tài)的使能信號),輸出端口qout, lamp的內部信號lift、ladd, 時序內部信號time。樓層請求寄存器的復位和置位。如果乘客進入電梯后所選的樓層大于所在的樓層,而且電梯處于上升或者是ladd為“01”的等待狀態(tài),則給上升請求寄存器urr相應的為置位。當電梯運行到所在的樓層,在time等于“110”時給該位復位。如果有多個請求則urr響應的位都置位。下降請求寄存器drr原理相似。寄存器urr和drr的各位相或后得到ur和dr信號作為狀態(tài)變化的條件信號。電梯運行狀態(tài)的判斷 分為3個狀態(tài) wait, upper, dow

35、n。狀態(tài)圖如圖2所示。 wait(等待)switch=1down(下降)upper(上升) ur=1 ur=0,dr=0 ur=0,dr=0 ur =1 dr=1ur=1 圖2 電梯控制器的狀態(tài)轉換圖當電梯開關switch為“0”時,為等待狀態(tài),狀態(tài)指示信號ladd為“00”。switch為“1”時,time為“101”而且使能信號let有效時,進行狀態(tài)確定。沒有上升下降請求時也為等待狀態(tài)。狀態(tài)信號ladd為“01”;上升狀態(tài)時信號ladd為“11”;下降狀態(tài)時狀態(tài)信號為“10”。時序電路及電梯運行時樓層變化和提前延時關門功能:根據ladd狀態(tài)對所到達的樓層數信號lift做相應的增減。電梯在t

36、ime信號跳變到“110”時關門,此時設置使能信號let為“1”,當time信號跳變到“010”時,如果電梯狀態(tài)信號ladd為“11”,而且使能信號let有效時,電梯層數指示器就增加,如果電梯達到了所選擇的樓層,則使能信號復位。電梯門打開。下降原理類似。當電梯處于上升狀態(tài)時,如果有上升請求的分控制器所在樓層數大于電梯所在的樓層數,當電梯運行到相應的樓層數時,電梯開門,讓有請求的乘客進入電梯。分控制器下降請求的原理類似。如果提前開門有效,則等待時間縮短,如果延時信號有效,則等待時間加長。電梯控制器通過乘客在電梯內外的請求信號控制上升和下降,而樓層信號由電梯本身的裝置觸發(fā),從而確定電梯處在哪個樓層

37、,乘客在電梯中選擇所要到達的樓層通過主控制器的處理,電梯開始運行,狀態(tài)顯示器顯示電梯的運行狀態(tài),電梯所在的樓層數通過led數碼管顯示,系統(tǒng)結構框圖如下:電梯狀態(tài)顯示信號存儲電梯外請求主控制器電梯內請求樓層顯示關門控制到達樓層信號電梯門的狀態(tài)分為開門,關門和正在關門3種狀態(tài),并通過開門信號,上升預操作和下降預操作來控制。這里可設為“00”表示門已關閉,“10”表示門已開啟,“01”表示正在關門??傮w框圖如下圖所示: 3.4 模塊、模塊功能及相應程序模塊threeflift見圖3。該模塊是整個程序的核心。圖3 threefliftlibrary ieee;use ieee.std_logic_11

38、64.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity threeflift is port(buttonclk:in std_logic; liftclk:in std_logic; reset:in std_logic; f1upbutton:in std_logic; f2upbutton:in std_logic; f2dnbutton:in std_logic; f3dnbutton:in std_logic; fuplight:buffer std_logic_vector(3 downt

39、o 1); fdnlight:buffer std_logic_vector(3 downto 1); stop1button,stop2button,stop3button:in std_logic; stoplight:buffer std_logic_vector(3 downto 1); position:buffer integer range 1 to 3; doorlight:out std_logic; udsig:buffer std_logic);end threeflift;architecture a of threeflift istype lift_state is

40、(stopon1,dooropen,doorclose,doorwait1,doorwait2,doorwait3,doorwait4,up,down,stop);signal mylift:lift_state;signal clearup:std_logic;signal cleardn:std_logic;beginctrlift:process(reset,liftclk)variable pos:integer range 3 downto 1; begin if reset=1then mylift=stopon1; clearup=0; cleardn doorlight=1;

41、position=1; pos:=1; mylift mylift clearup=0; cleardn=0; mylift mylift mylift doorlight=0; if udsig=0then if position=3 then if stoplight=000 and fuplight=000and fdnlight=000then udsig=1; mylift=doorclose; else udsig=1; mylift=down; end if; elsif position=2 then if stoplight=000 and fuplight=000 and

42、fdnlight=000then udsig=0; mylift=doorclose; elsif stoplight(3)=1 or (stoplight(3)=0 and fdnlight(3)=1) then udsig=0; mylift=up; else udsig=1; mylift=down; end if; elsif position=1 then if stoplight=000 and fuplight=000 and fdnlight=000 then udsig=0; mylift=doorclose; else udsig=0; mylift=up; end if;

43、 end if; elsif udsig=1 then if position=1 then if stoplight=000 and fuplight=000 and fdnlight=000 then udsig=0; mylift=doorclose; else udsig=0; mylift=up; end if; elsif position=2 then if stoplight=000 and fuplight=000 and fdnlight=000 then udsig=1; mylift=doorclose; elsif stoplight(1)=1 or (stoplig

44、ht(1)=0 and fuplight(1)=1) then udsig=1; mylift=down; else udsig=0; mylift=up; end if; elsif position=3 then if stoplight=000 and fuplight=000 and fdnlight=000 then udsig=1; mylift=doorclose; else udsig=1; mylift position=position+1; pos:=pos+1; if pos3 and (stoplight(pos)=1 or fdnlight(pos)=1) then

45、 mylift=stop; elsif pos=3 and (stoplight(pos)=1 or fdnlight(pos)=1) then mylift=stop; else mylift position1 and (stoplight(pos)=1 or fdnlight(pos)=1) then mylift=stop; elsif pos=1 and (stoplight(pos)=1 or fuplight(pos)=1) then mylift=stop; else mylift mylift doorlight=1; if udsig=0then if position=2

46、 and (stoplight(position)=1 or fuplight(position)=1)then clearup=1; else clearup=1; cleardn=1; end if; elsif udsig=1then if position=2 and (stoplight(position)=1 or fdnlight(position)=1)then clearup=1; else clearup=1; cleardn=1; end if; end if; mylift=doorwait1; end case; end if; end if;end process ctrlift;ctrlight:process(reset,buttonclk)begin if reset=1 then stoplight=000; fuplight=000; fdnlight=000; else if buttonclkevent and buttonclk=1then if clearup=1then stoplight(position)=0; fuplight(position)=0; else if f1upbutton=1then fuplight(1)=1; elsif f2upbutton=1then fuplight(2)=1;

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論