[工學]數(shù)電實驗指導書_第1頁
[工學]數(shù)電實驗指導書_第2頁
[工學]數(shù)電實驗指導書_第3頁
[工學]數(shù)電實驗指導書_第4頁
[工學]數(shù)電實驗指導書_第5頁
已閱讀5頁,還剩43頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、數(shù) 字 電 子 技 術(shù)實 驗 指 導 書電子信息工程學院二0一二年三月目 錄實 驗 要 求1實驗一 門電路邏輯功能及測試(1)2實驗二 門電路邏輯功能及測試(2)5實驗三 譯碼器9實驗四 數(shù)據(jù)選擇器12實驗五 全加器功能測試15實驗六 鎖存器功能測試17實驗七 觸發(fā)器功能測試19實驗八 集成計數(shù)器22實驗九 組合邏輯電路的應(yīng)用(選做)26實驗十 時序邏輯電路的應(yīng)用(選做)28實驗十一 555集成芯片的應(yīng)用(選做)29實驗十二 a/d、d/a轉(zhuǎn)換電路(選做)33實驗十三 交通信號燈的控制(設(shè)計)(選做)37實驗十四 綜合性實驗智力競賽搶答器(選做)39實驗十五 數(shù)字鎖設(shè)計(選做)41附錄 常用t

2、tl集成電路引出端功能圖42實 驗 要 求1實驗前必須充分預(yù)習,完成指定的預(yù)習任務(wù),預(yù)習要求如下:(1)認真閱讀實驗指導書,分析、掌握實驗電路的工作原理。(2)熟悉各實驗中相關(guān)的理論知識內(nèi)容。(3)熟悉實驗任務(wù)。(4)熟悉實驗中所用各儀器的使用方法及注意事項。 2使用儀器和實驗箱前必須了解其性能,操作方法及注意事項,在使用時應(yīng)嚴格遵守。3實驗時接線要認真,相互仔細檢查,確定無誤才能接通電源,初學或沒有把握應(yīng)經(jīng)指導教師審查同意后再接通電源。4預(yù)先在電路圖上把引腳標上,以便實驗時順利連線。5實驗時應(yīng)注意觀察,若發(fā)現(xiàn)有破壞性異常現(xiàn)象(例如有元件冒煙,發(fā)燙或有異味)應(yīng)立即斷開電源,保持現(xiàn)場,報告指導老

3、師。找出原因,排除故障,經(jīng)指導老師同意后再繼續(xù)實驗。6實驗過程中需要改接線時,應(yīng)關(guān)斷電源后才能拆、接線。7實驗過程中應(yīng)仔細觀察實驗現(xiàn)象,認真記錄實驗結(jié)果(數(shù)據(jù)、波形、現(xiàn)象)。所記錄的實驗結(jié)果經(jīng)指導老師審閱簽字后再拆除實驗線路。8實驗結(jié)束后,必須關(guān)斷電源、拔出電源插頭、并將儀器、設(shè)備、工具、導線等按規(guī)定整理。9實驗后每個同學必須按要求獨立完成實驗報告實驗一 門電路邏輯功能及測試(1)一、實驗?zāi)康?、熟悉器件外形和管腳引線排列。2.、熟悉與、或、非門電路的邏輯功能。3.、熟悉數(shù)字電路實驗箱的使用方法。 二、實驗器件四2輸入與門74ls08芯片 1片四2輸入或門74ls32芯片 1片六反相器74ls

4、04芯片 1片芯片引腳圖如下所示:四2輸入與門74ls08芯片 四2輸入或門74ls32芯片 六反相器74ls04芯片 三、預(yù)習要求1、復(fù)習與、或、非門電路的工作原理。2、熟悉所用集成電路的引線位置及各引線用途。四、實驗內(nèi)容及步驟實驗前先檢查實驗箱電源是否正常。然后對所選實驗用的集成電路進行連線,特別注意vcc及地線不能接錯。實驗中改動接線須先斷開電源,接好線后再通電源。1、按圖1所示要求連接電路,輸入端接邏輯開關(guān)a、b,輸出端接指示器。改變輸入狀態(tài)的高低電平,將a、b輸入端依次接成0-0,0-1,1-0,1-1狀態(tài),觀察輸出端電平指示器的顯示狀態(tài)(亮為“1”,滅為“0”),并填寫實驗結(jié)果。實

5、驗結(jié)果填入表1的邏輯真值表中,并寫出輸出端y的邏輯表達式和電路的邏輯功能。圖1表1 邏輯真值表輸 入輸出a by0 00 11 01 1邏輯表達式y(tǒng) =_ 邏輯功能:_2、按圖2所示要求連接電路,將a、b輸入端依次接成0-0,0-1,1-0,1-1狀態(tài),觀察輸出端電平指示器的顯示狀態(tài)(亮為“1”,滅為“0”),實驗結(jié)果填入表2的邏輯真值表中,并寫出輸出端y的邏輯表達式和電路的邏輯功能。圖2表2 邏輯真值表輸 入輸出a by0 0 0 1 1 0 1 1 邏輯表達式y(tǒng) = _邏輯功能:_3、按圖3所示要求連接電路,將a輸入端接邏輯開關(guān)a,依次為0、1時,觀察輸出端電平指示器的顯示狀態(tài)(亮為“1”

6、,滅為“0”),實驗結(jié)果填入表3的邏輯真值表中,并寫出輸出端y的邏輯表達式和電路的邏輯功能。圖3表3 邏輯真值表輸 入輸出a y0 1 邏輯表達式y(tǒng) =_ 邏輯功能:_ 五、實驗報告1、按各步驟要求填表。2、回答問題:怎樣判斷門電路邏輯功能是否正常?實驗二 門電路邏輯功能及測試(2)一、實驗?zāi)康?、熟悉器件外形和管腳引線排列。2、熟悉與非、異或門電路邏輯功能。3、設(shè)計用與非門組成其它門電路并測試驗證。二、實驗器件74ls86 四二輸入端異或門 1片74ls00 四二輸入端與非門 2片74ls20 四輸入端雙與非門 1片 芯片引腳圖如下所示:74ls86 四二輸入端異或門 74ls00 四二輸入

7、端與非門 74ls20 四輸入端雙與非門 三、預(yù)習要求1、復(fù)習與非、異或門電路工作原理。2、熟悉所用集成電路的引線位置及各引線用途。 四、實驗內(nèi)容及步驟實驗前先檢查實驗箱電源是否正常。然后對所選實驗用的集成電路進行連線,特別注意vcc及地線不能接錯。實驗中改動接線須先斷開電源,接好線后再通電源。1、測試門電路邏輯功能(1)選用四輸入端雙與非門74ls20一只,插入設(shè)計板,按圖1接線,輸入端a、b、c、d接s1s4(電平開關(guān)輸出插口),輸出端l接電平顯示發(fā)光二極管(d1d8任意一個)。 圖1.1(2) 將電平開關(guān)按表1置位,分別測出輸出邏輯狀態(tài)。將輸出結(jié)果填入表1中。表1.1輸 入輸出abcdl

8、111101110011000100002、異或門邏輯功能測試(1)選四二輸入端異或門74ls86,按圖2接線,輸入端a、b、c、d接電平開關(guān),輸出端a、b、y接電平顯示發(fā)光二極管。 (2)將電平開關(guān)按表2置位撥動,將輸出結(jié)果填入表2中。圖2表2輸 入輸 出abcdl1l2l30000100011001110111101013、分析并驗證邏輯電路的邏輯關(guān)系(1)用74ls00按圖3、圖4接線,將輸入輸出關(guān)系分別填入表3、表4中。(2)寫出上面兩個電路的邏輯表達式。 圖3圖4表3 輸入輸出 aby00011011表4輸入輸出abyz000110114、用與非門組成其它門電路并測試驗證。(1)組成

9、或非門: 用一片二輸入端四與非門74ls00組成或非門。a) 將或非門表達式轉(zhuǎn)化為與非門表達式。b) 畫出邏輯電路圖c) 測試并填表5表5輸入輸出 aby00011011(2)組成異或門: 用一片二輸入端四與非門74ls00組成異或門。a)將異或門表達式轉(zhuǎn)化為與非門表達式。b)畫出邏輯電路圖。c)測試并填表6表6輸入輸出aby00011011五、實驗報告1、按各步驟要求填表。2、回答問題:(1)與非門一個輸入端連接連續(xù)脈沖,其余端什么狀態(tài)時允許脈沖通過?什么狀態(tài)時禁止脈沖通過?(2)異或門又稱可控反相門,為什么?實驗三 譯碼器一、實驗?zāi)康氖煜ぜ勺g碼器,掌握譯碼器電路的功能、特點及其測試方法。

10、掌握譯碼器的級聯(lián)方法。二、實驗器件74ls139 雙24譯碼器 1片74ls20 四輸入端二與非門 1片 74ls138 3-8譯碼器 1片74ls04 六反相器 1片芯片引腳圖如下所示:74ls139 雙24譯碼器 74ls138 38譯碼器74ls04 六反相器 74ls20 四輸入端雙與非門三、實驗內(nèi)容及步驟1、譯碼器功能測試74ls139雙2線4線譯碼器如圖1所示。圖(a)為邏輯電路圖,圖(b)為實驗測試連線圖。輸入端d0、d1接邏輯開關(guān)a、b,輸出0 3接電平指示器。改變輸入信號d0、d1的狀態(tài),觀察輸出,寫出0 3的數(shù)值(表1)及其表達式。圖1表1 功能表輸入輸出d1d032100

11、000010100113=_ 2= _1=_ 0= _2、將雙24譯碼器擴展成38譯碼器用雙2線4線譯碼器74ls139組成的3線8線譯碼器電路如圖2所示,按圖連線。輸入端d0 d2接邏輯開關(guān)0、1、2,輸出0 7接電平指示器。改變輸入信號d0 d2的狀態(tài),觀察輸出,寫出0 7的數(shù)值(表2)及其表達式。圖2表2輸入輸出d2d1d0765432100000010100111001011101117 =_ 6 =_ 5 =_ 4 = _3 =_ 2 = _ 1 =_ 0 = _四、實驗報告1、按各步驟要求填表。2、試用兩片3線8線譯碼器74ls138構(gòu)成4線16線譯碼器,畫出邏輯電路圖。實驗四 數(shù)

12、據(jù)選擇器一、實驗?zāi)康恼莆账倪x一數(shù)據(jù)選擇器的邏輯功能及測試方法。掌握數(shù)據(jù)選擇器的級聯(lián)方法及測試方法。二、實驗器件74ls253 雙四選一數(shù)據(jù)選擇器 1片74ls04 六反相器 1片74ls32 四2輸入或門 1片芯片引腳圖如下所示:74ls253 雙四選一數(shù)據(jù)選擇器 74ls32 四2輸入或門74ls04 六反相器三、實驗內(nèi)容及步驟1、將雙四選一數(shù)據(jù)選擇器74253按圖1所示連線,信號輸入端dcba=0101, 分別接邏輯開關(guān),地址碼輸入端a1、a0分別接邏輯開關(guān),輸出y接電平指示器。改變地址碼輸入端a1a0的狀態(tài),觀察輸出y,并填寫表1 圖1 表1 使能端地址碼四路數(shù)據(jù)輸入輸出ga1 a0d(

13、d3)c(d2)b(d1)a(d0)y00 0010100 1010101 0010101 10101邏輯表達式y(tǒng) = _2、將雙四選一多路數(shù)據(jù)選擇器74ls253接成八選一數(shù)據(jù)選擇器。電路如圖2所示,按測試圖連線,選通輸入a2、a1、a0信號分別接邏輯開關(guān),d7d0分別為01100011,輸出y接電平指示器。改變a2a1a0,觀察輸出y的狀態(tài),并把實驗結(jié)果填表2,說明電路功能。圖2(a)原理圖圖2(b)測試圖表2使能端地址碼八路數(shù)據(jù)輸入輸出ga2 a1a0d7 d6 d5 d4 d3 d2 d1 d0y0000000000 000 10100111001011101110 1 1 0 0 0

14、 1 10 1 1 0 0 0 1 10 1 1 0 0 0 1 10 1 1 0 0 0 1 10 1 1 0 0 0 1 10 1 1 0 0 0 1 10 1 1 0 0 0 1 10 1 1 0 0 0 1 1邏輯表達式y(tǒng) = _ 功能說明:_四、實驗報告1、按各步驟要求填表。2、總結(jié)數(shù)據(jù)選擇器的芯片擴展方法。實驗五 全加器功能測試一、實驗?zāi)康恼J識和熟悉加法器的功能和特點,測試由門電路組成的一位全加器的邏輯功能。掌握超前進位集成4位加法器的連接方法和測試方法。二、實驗器件74ls08 四2輸入與門 1片74ls86 四2輸入異或門 1片74ls32 四2輸入或門 1片74ls283 超

15、前進位集成4位加法器 1片芯片引腳圖如下所示:74ls08 四2輸入與門 74ls283 超前進位集成4位加法器 74ls86 四2輸入異或門 74ls32 四2輸入或門三、實驗內(nèi)容及步驟1、用門電路組成的全加器按圖1所示連線,將電路的三個輸入端ai、bi和ci-1分別接邏輯開關(guān)a、b、c,兩個輸出si和ci分別接電平指示器。改變輸入信號的高、低電平,觀察輸出端的狀態(tài)變化,填寫表1,寫出si和ci的邏輯函數(shù)表達式。圖1表1 邏輯真值表aibici-1siciaibici-1sici000 100 001 101 010 110 011 111 si = _ ci = _2、使用74ls283

16、超前進位集成4位加法器,a3、a2、a1、a0、 b3、b2、b1、b0分別接邏輯開關(guān)。輸出s1、s2、s3、s4接七段譯碼顯示器,輸出ci接發(fā)光二極管。改變輸入a3a2a1a0和b3b2b1b0,觀察七段譯碼顯示器的輸出結(jié)果,并將輸出結(jié)果填入表2。 表2輸入信號輸出信號a3a2a1a0b3b2b1b0七段譯碼顯示器c30001001000100011001101000100010110100110四、實驗報告1、整理實驗數(shù)據(jù)和圖表。2、總結(jié)組合邏輯電路的分析方法。實驗六 鎖存器功能測試一、實驗?zāi)康氖煜せ緎r鎖存器邏輯功能和特點,掌握測試方法。熟悉門控d鎖存器邏輯功能和特點,掌握測試方法。

17、二、實驗器件四2輸入與非門74ls00芯片 1片六反相器74ls04芯片 1片芯片引腳圖如下所示:74ls00 四2輸入與非門 74ls04 六反相器三、實驗內(nèi)容及步驟1、按圖1所示連線,電路為用與非門構(gòu)成的基本sr鎖存器, 、 接邏輯開關(guān)a、b,q、 接指示器。改變 、 的狀態(tài),觀察輸出q和 的狀態(tài)。填寫實驗結(jié)果入表1,并寫出其特性方程。 圖1表1 功能表q功能說明00011011基本sr鎖存器邏輯功能:_基本sr鎖存器的特性方程 =_畫出基本sr鎖存器狀態(tài)轉(zhuǎn)換圖:2、按圖2所示連接電路,信號輸入端d和使能端e分別接邏輯開關(guān)。改變e、d的輸入狀態(tài),觀察輸出端q的狀態(tài),填寫實驗結(jié)果入表2,并寫

18、出其特性方程。圖2表2 功能表edq功能說明00 01 10 11 d鎖存器功能:_d鎖存器特性方程 = _畫出d鎖存器狀態(tài)轉(zhuǎn)換圖:四、實驗報告:1、填寫實驗表格2、鎖存器與觸發(fā)器的主要區(qū)別是什么?實驗七 觸發(fā)器功能測試一、實驗?zāi)康膶W會正確使用觸發(fā)器集成芯片。1、熟悉邊沿d觸發(fā)器的邏輯功能和特點,掌握測試方法。2、熟悉邊沿jk觸發(fā)器的邏輯功能和特點,掌握測試方法。3、熟悉t觸發(fā)器的構(gòu)成、邏輯功能和特點,掌握測試方法。4、熟悉t,觸發(fā)器的構(gòu)成、邏輯功能和特點,掌握測試方法。二、實驗器件74ls74 雙d觸發(fā)器(帶置位、復(fù)位、正觸發(fā)) 1片74ls112 雙j-k觸發(fā)器(帶置位、復(fù)位、負觸發(fā)) 1

19、片芯片引腳圖如下所示:74ls74 雙(上升沿)d觸發(fā)器 74ls112 雙(下降沿)jk觸發(fā)器三、實驗內(nèi)容及步驟1、 維持阻塞型d觸發(fā)器功能測試d、d、d、cp分別接邏輯開關(guān),輸出q和端接電平指示器。改變d、d、d的狀態(tài),觀察輸出端q和的狀態(tài)。填寫表1。表1d dcpdqnqn+1功能說明0 1011 0011 10011 1101d觸發(fā)器功能:_d觸發(fā)器特性方程qn+1=_端名稱為_功能:_端名稱為_功能:_d觸發(fā)器狀態(tài)轉(zhuǎn)換圖:2、j-k觸發(fā)器功能測試j、k、d、d、cp分別接邏輯開關(guān),輸出q和端接電平指示器。改變j、k、d、d的狀態(tài),觀察輸出端q和的狀態(tài)。填寫表2。表2d dcpj kq

20、nqn+1功能說明0 1 011 0 011 10 001 1 10 1011 11 0011 11 101jk觸發(fā)器功能:_jk觸發(fā)器特性方程qn+1=_端名稱為_功能:_端名稱為_功能:_jk觸發(fā)器狀態(tài)轉(zhuǎn)換圖:3、將j-k觸發(fā)器轉(zhuǎn)換成t觸發(fā)器a) 畫出轉(zhuǎn)換電路圖,輸入電平,觀察并記錄q,將結(jié)果填入表3中。 表3d dcptqnqn+1功能說明0 1011 0011 10011 1101t觸發(fā)器功能:_t觸發(fā)器特性方程qn+1=_端名稱為_功能:_端名稱為_功能:_t觸發(fā)器狀態(tài)轉(zhuǎn)換圖:b) 使t常接1,將t觸發(fā)器轉(zhuǎn)換成t,觸發(fā)器。輸入時鐘脈沖,觀察并記錄q,將結(jié)果填入表4中,并說明邏輯功能。

21、表4d dcp t,qnqn+1功能說明0 1011 0011 1101t,觸發(fā)器功能:_t,觸發(fā)器特性方程qn+1=_端名稱為_功能:_端名稱為_功能:_t,觸發(fā)器狀態(tài)轉(zhuǎn)換圖:四、實驗報告:1、填寫實驗表格2、觸發(fā)器有哪幾種常見的電路結(jié)構(gòu)?實驗八 集成計數(shù)器一、實驗?zāi)康?、熟悉集成計數(shù)器的邏輯功能和各控制端的作用。2、掌握計數(shù)器的使用方法。3、掌握n進制計數(shù)器的實現(xiàn)方法及測試方法。二、實驗器件74ls390 雙異步二-十進制計數(shù)器 1片 74ls00 四二輸入端與非門 1片 芯片引腳圖如下所示: 74ls390引腳圖74ls00 二輸入端四與非門74ls390功能表:cr(a)cp0(b)c

22、p1功能1異步清零0外部時鐘1q0輸出 二進制計數(shù)01外部時鐘q3q2q1輸出 五進制計數(shù)0外部時鐘qo十進制計數(shù)8421bcd碼0q3外部時鐘十進制計數(shù)5421bcd碼三、實驗內(nèi)容與步驟1、集成計數(shù)器74ls390功能測試a) 二進制計數(shù) cpo (a)接輸入脈沖,輸出qo接電平指示器,觀察在cp脈沖作用下,電平指示器的顯示情況。畫出與cp對應(yīng)的qo的波形及狀態(tài)轉(zhuǎn)換圖,說明功能。波形圖: cpqo功能說明:_狀態(tài)轉(zhuǎn)換圖:b) 五進制計數(shù) cp1(b)接輸入脈沖, 輸出q3 q2 q1接電平指示器, 觀察在cp脈沖作用下,電平指示器的顯示情況。畫出與cp對應(yīng)的q3 q2 q1的波形及狀態(tài)轉(zhuǎn)換圖

23、,說明功能。cpq1q2q3功能說明:_狀態(tài)轉(zhuǎn)換圖:c) 8421bcd碼十進制計數(shù) cpo(a)接輸入脈沖,q0接cp1(b),q3 q2 q1 q0輸出接電平指示器和七段譯碼顯示器,觀察在cp脈沖作用下,電平指示器和七段譯碼顯示器的顯示情況。畫出與cp對應(yīng)的q的波形及狀態(tài)轉(zhuǎn)換圖,說明功能。填寫表1波形圖:cpq0q1q2q3功能說明:_狀態(tài)轉(zhuǎn)換圖:表1輸 入輸 出(電平顯示)數(shù)碼管顯示數(shù)值a(cp)q3q2q1q012345678910d) 5421bcd碼十進制計數(shù) cp1(b)接輸入脈沖,q3接cp0(a),輸出q0 q3 q2 q1接電平指示器,觀察在cp脈沖作用下,電平指示器的顯示

24、情況,畫出與cp對應(yīng)的q的波形及狀態(tài)轉(zhuǎn)換圖,說明功能。填寫表2波形圖:cpq1q2q3q0功能說明:_狀態(tài)轉(zhuǎn)換圖:表2輸 入輸 出(電平顯示)b(cp)q0q3q2q1123456789102、任意進制計數(shù)器設(shè)計方法a) 用十進制計數(shù)器和與非門采用清零脈沖反饋法組成m=6的計數(shù)器,畫出狀態(tài)轉(zhuǎn)換圖和電路連接圖,連接并測試電路。四、實驗報告:1、填寫實驗表格2、異步清零與同步清零有什么區(qū)別?實驗九 組合邏輯電路的應(yīng)用(選做)一、實驗?zāi)康恼莆兆g碼器的應(yīng)用掌握數(shù)據(jù)選擇器的應(yīng)用二、實驗器件74ls138 3-8譯碼器 1片74ls20 四輸入端二與非門 1片74ls253 雙四選一數(shù)據(jù)選擇器 1片 芯片

25、引腳圖如下所示:74ls138 3-8譯碼器 74ls20 四輸入端二與非門 74ls253 雙四選一數(shù)據(jù)選擇器 三、實驗內(nèi)容與步驟1、用38譯碼器實現(xiàn)全減器功能用一片3線8線譯碼器74ls138和一片74ls20四輸入端二與非門構(gòu)成一個一位全減器電路。填寫全減器真值表(表1)及輸出函數(shù)表達式,畫出電路連線圖,并檢驗其功能。表1輸 入輸 出aibici-1dici000001010011100101110111di= _ci= _2、試用雙四選一多路數(shù)據(jù)選擇器74ls253設(shè)計一個三人多數(shù)表決電路。a)按設(shè)計要求列出真值表;b)寫出輸出函數(shù)表達式;c)畫出邏輯電路圖;d)連接電路并測試功能;實

26、驗十 時序邏輯電路的應(yīng)用(選做)一、實驗?zāi)康恼莆沼嫈?shù)器的級聯(lián)方法及應(yīng)用二、實驗器件74ls390 雙異步二-十進制計數(shù)器 1片 74ls00 四二輸入端與非門 1片 芯片引腳圖如下所示:74ls390 雙異步二-十進制計數(shù)器 74ls00 四二輸入端與非門三、實驗內(nèi)容與步驟1、用十進制計數(shù)器的級聯(lián)和與非門采用清零脈沖反饋法組成m=24的計數(shù)器,畫出狀態(tài)轉(zhuǎn)換圖和電路連接圖,連接并測試電路。四、思考題用兩組十進制計數(shù)器和與非門構(gòu)成六十進制計數(shù)器(級聯(lián)法),60進制計數(shù)器的個位向十位進位,進位信號如何連接?畫出連接電路圖。實驗十一 555集成芯片的應(yīng)用(選做)一、實驗?zāi)康?、熟悉555集成電路的基本

27、結(jié)構(gòu),工作方式及其應(yīng)用。2通過實驗掌握一定的設(shè)計和調(diào)試電路的能力。二、實驗器件1、數(shù)字電路實驗箱、2、示波器3、器件:ne555集成芯片1片,電阻、電容若干二、 實驗原理 圖10-1為555定時器內(nèi)部結(jié)構(gòu)的簡化原理圖,它包括兩個電壓比較器c1 、c2和一個rs觸發(fā)器,一個放電bjt t,緩沖器g及三只5k電阻組成的分壓器。 圖10-1 555定時器內(nèi)部結(jié)構(gòu)簡化原理圖 555定時器的邏輯功能可理解為:由輸入決定兩個比較器的輸出從而決定rs觸發(fā)器的輸出。1) 當復(fù)位端rd為低電平時,不管其它輸入端的狀態(tài)如何,輸出vo為低電平。因此在正常工作時,應(yīng)將其接高電平。2) 當輸入vi 1大于2/3vcc時

28、,vi 2大于1/3vcc時,比較器c1輸出r為低電平,比較器c2輸出s為高電平,基本rs觸發(fā)器被置0,放電三極管t導通,輸出端vo為低電平;當輸入vi 1小于2/3vcc時,vi 2小于1/3vcc時,比較器c1輸出高電平,c2輸出低電平,rs觸發(fā)器被置1,放電三極管t截止,輸出端vo為高電平;當輸入vi 1小于2/3vcc時,vi 2大于1/3vcc時,基本rs觸發(fā)器r=1,s=1,觸發(fā)器狀態(tài)不變,電路亦保持原狀態(tài)不變。四、實驗內(nèi)容1、驗證555功能 用數(shù)字實驗箱中模塊m14,按圖10-2接線,驗證555集成電路功能。 m14中8腳vcc,4腳復(fù)位端rd已接高電平+5v;1腳gnd,5腳v

29、t已按圖接好。只須將數(shù)字實驗箱中電位器w1抽頭接p2,w2接p6;p7接r1;按表10-3改變電位器,并記錄下來。圖10-2表10-3 輸入 輸出vi 1(p6)vi 2(p2)rd(4腳)vo放電端(p7) 2/3vcc 2/3vcc 1/3vcc 1 1/3vcc 12、用555構(gòu)成施密特觸發(fā)器將555定時器的閾值輸入端(p6)和觸發(fā)輸入(p2)連在一起,便構(gòu)成了施密特觸發(fā)器,按圖10-4接好線,測試電壓傳輸特性曲線并記錄下來。圖10-4 圖10-53、用555構(gòu)成多諧振蕩器 按圖10-5接線,可形成一多諧振蕩器。 p2與p6相連,再與rc相連;用示波器觀察并記錄vc及輸出vo波形并記錄下

30、來。4、用555構(gòu)成單穩(wěn)態(tài)觸發(fā)器按圖10-6接線,可形成單穩(wěn)態(tài)觸發(fā)器。圖中vi可用m15中的1s信號,改變w1(100k)的數(shù)值,用示波器觀察vi 1、vc和vo的波形并記錄下來。圖10-6思考題 用555集成電路構(gòu)成救護車音響電路 圖10-7用兩塊555集成塊構(gòu)成音響電路。第一個555產(chǎn)生低頻振蕩,其頻率約為1.5hz,第二個其頻率約為1.5khz,由于第一個555的輸出端3接到第二個555控制端5腳上,因此,高頻振蕩器的振蕩頻率采到低頻振蕩器的調(diào)制,第一個555輸出為高電平時,第二個555的頻率就低;而第一個555輸出為低電平時,第二個555的頻率就高,這樣喇叭發(fā)出高低相間,周而復(fù)始的救護

31、車音響。按圖10-7接線調(diào)試。 圖10-7實驗十二 a/d、d/a轉(zhuǎn)換電路(選做)一、 實驗?zāi)康?.了解a/d、d/a轉(zhuǎn)換的工作原理。2.了解dac0832、adc0809集成芯片的轉(zhuǎn)換性能和使用方法。二、 實驗器件1. 數(shù)字電路實驗箱2. dac0832、adc0809集成芯片各一片3. ua741或747集成運算放大器一片4. 直流穩(wěn)壓電源一臺5. 數(shù)字電壓表一只三、 實驗原理1、數(shù)模轉(zhuǎn)換器dac數(shù)模(d/a)轉(zhuǎn)換器,它的輸入是用二進制表示的數(shù)字量,它的輸出是模擬量。其工作原理就是將輸入數(shù)字量的每一位代碼,按權(quán)大小轉(zhuǎn)換成相應(yīng)的模擬輸出分量,然后在根據(jù)疊加原理,將各模擬分量相加,所得到的與數(shù)

32、字量成正比的模擬分量之和就是它的輸出總量。圖11-1為d/a轉(zhuǎn)換器dac0832引腳圖。 圖11-1dac0832引腳功能為:d7d0:八位數(shù)字量輸入,d7為最高位,d0為最低位。io1 :模擬電流輸出1端,通常外接運放的反相輸入端。io2 :模擬電流輸出2端,通常接地。rf :為外接運放提供反饋電阻(約15k)引出端。vcc :電源電壓,+5+15v。ur:參考電壓端。要求該輸入電壓精度愈高愈好,其范圍為-10+10v,若要輸出正模擬電壓,該端接負值電壓;若要輸出負模擬電壓,該端接正值電壓。dgnd :數(shù)字電路接地端。agnd :模擬電路接地端,通常與dgnd相連。 cs:片選信號端,低電平

33、有效。 ile :輸入數(shù)據(jù)鎖存控制器。wr1 :輸入寄存器寫選通信號端,低電平有效。輸入寄存器的鎖存信號le1由ile、cs、wr1的組合邏輯產(chǎn)生。le1為高電平時,輸入寄存器的狀態(tài)隨輸入數(shù)據(jù)變化,le1為負跳變將輸入數(shù)據(jù)鎖存。xfer :數(shù)據(jù)傳送信號控制端,低電平有效。wr2 :dac寄存器寫選通信號端,低電平有效。dac寄存器的鎖存信號le2由xfer和wr2的組合邏輯產(chǎn)生。le2為高電平時,dac寄存器的輸出狀態(tài)隨寄存器 的輸入而變化,le2為負跳變時,輸入寄存器的內(nèi)容存入dac寄存器并開始d/a轉(zhuǎn)換。2、模數(shù)轉(zhuǎn)換器adc 按工作原理來分,模數(shù)轉(zhuǎn)換可分為直接轉(zhuǎn)換和間接轉(zhuǎn)換。直接轉(zhuǎn)換就是將

34、模擬量直接轉(zhuǎn)換為數(shù)字量,間接轉(zhuǎn)換是將模擬量先轉(zhuǎn)換成所需的某種中間量(如時間、頻率等),然后再將中間量轉(zhuǎn)換為所需的數(shù)字量。 圖11-2為a/d轉(zhuǎn)換器adc0809引腳圖。adc0809引腳功能為:in0in7 :八個模擬量輸入端。c、b、a :通道地址輸入端。c、b、a為二進制,c為最高位,a為最低位。cba從000111分別選中通道in0in7。ale : 地址鎖存信號端,高電平有效。當ale為高電平時,允許c、b、a所選的通道被選中,并把該通道的模擬信號接入a/d轉(zhuǎn)換電路。start :轉(zhuǎn)換啟動信號端,當start為高電平時,開始a/d轉(zhuǎn)換。eoc : 轉(zhuǎn)換結(jié)束的標志信號端。但a/d轉(zhuǎn)換結(jié)束

35、時,發(fā)出一個正脈沖,表示轉(zhuǎn)換結(jié)束。oe : 數(shù)據(jù)輸出允許控制端,當給oe端高電平時,控制三態(tài)數(shù)據(jù)輸出鎖存緩沖器,將數(shù)據(jù)輸出。clock :外部時鐘輸入端,為a/d轉(zhuǎn)換器提供所需要的時鐘脈沖。d7d0 :數(shù)字量輸出端。ur (+)ur (-) :參考電壓端,通常ur (+)=5v,ur (-)=0v。vcc:電源電壓,其值為5v,gnd:接地端。圖11-2四、實驗內(nèi)容1、測定dac0832的轉(zhuǎn)換性能1)按圖11-3接線,d7d0接八個邏輯開關(guān)。2)接通電源,將d7d0全部置“0”,調(diào)節(jié)運算放大器ua741的調(diào)零電位器rw(10 k),使輸出電壓為零。3)按表10-4要求,d7d0輸出不同的數(shù)字量

36、,測量其對應(yīng)的輸出模擬電壓大小,并填入表中。 圖11-3表11-4d7 d6 d5 d4 d3 d2 d1 d0輸出u0/v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 1 0 0 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 12、測定adc0809的轉(zhuǎn)換性能按圖11-5接線,模塊m13的方波輸出加至clk(10)端,頻率調(diào)至20khz左右。c、b、a接邏輯開關(guān),使cba=“000”,將數(shù)字實驗箱中1k的電位器w

37、2接in0,按表11-6要求,加入不同的模擬電壓ui ,觀察相應(yīng)輸出的量,并將結(jié)果填入其中。1)改變邏輯開關(guān),使cba=“001”,輸入模擬電壓ui接到in1端,按表11-6要求重復(fù)上述實驗。2)按上述方法,將輸入模擬電壓分別接至其余六個輸入端in2in7,進行實驗。圖11-5表11-6輸入模擬電壓ui /vd7d6d5d4d3d2d1d0 0 1 2 3 4 5實驗十三 交通信號燈的控制(設(shè)計)(選做)一、 設(shè)計任務(wù)1. 設(shè)計一個交通信號燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個入口設(shè)置紅、黃、綠三色信號燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時間停在禁行線

38、外。2. 用紅、綠、黃發(fā)光二極管作信號燈,用傳感器或邏輯開關(guān)作檢測車輛是否到來的信號。3. 主干道處于常允許通行的狀態(tài),支干道有車來時才允許通行。主干道亮綠燈時,支干道亮紅燈;支干道亮綠燈時,主干道亮紅燈。4. 主、支干道均有車時,兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設(shè)立45秒、25秒計時、顯示電路。5. 在每次由綠燈亮到紅燈亮的轉(zhuǎn)換過程中,要亮5秒黃燈作為過渡,使行駛中的車輛有時間停到禁行線外,設(shè)立5秒計時、顯示電路。二、 設(shè)計提示1. 主、支干道用傳感器檢測車輛到來情況,實驗電路用邏輯開關(guān)代替。2. 45秒、25秒、5秒定時信號可用順計時,也可倒計時,計時起始信號由主控電路給出,定時結(jié)束信號也輸入到主控電路,由主控電路啟、閉三色信號燈或啟動另一計時電路。3. 主控電路是核心,這是一個時序電路,其輸入信號為:1) 車輛檢測信號;2) 45秒、25秒、5秒定時信號。其狀態(tài)表為: 狀態(tài) 主干道 支干道 時間 0綠燈亮,允許通行紅燈亮,禁止通行 45秒

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論