畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)全自動洗衣機(jī)_第1頁
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)全自動洗衣機(jī)_第2頁
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)全自動洗衣機(jī)_第3頁
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)全自動洗衣機(jī)_第4頁
畢業(yè)設(shè)計(jì)(論文)基于單片機(jī)全自動洗衣機(jī)_第5頁
已閱讀5頁,還剩48頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、高等教育自學(xué)考試本科畢業(yè)論文 基于單片機(jī)全自動洗衣機(jī)畢業(yè)設(shè)計(jì)基于單片機(jī)全自動洗衣機(jī)畢業(yè)設(shè)計(jì) 考生姓名: 準(zhǔn)考證號: 專業(yè)層次: 本科 院 (系): 機(jī)械與動力工程學(xué) 院 指導(dǎo)教師: 職 稱: 講師 重慶科技學(xué)院 二 o 一 o 年 月 日 高等教育自學(xué)考試本科畢業(yè)論文 基于單片機(jī)全自動洗衣機(jī)畢業(yè)設(shè)計(jì)基于單片機(jī)全自動洗衣機(jī)畢業(yè)設(shè)計(jì) 考生姓名: 準(zhǔn)考證號: 專業(yè)層次: 本科 指導(dǎo)教師: 院 (系): 機(jī)械與動力工程學(xué)院 重慶科技學(xué)院 二 o 一 o 年 月 日 摘 要 基于模糊控制的全自動洗衣機(jī)自動控制系統(tǒng), 所有的電路都是在單片機(jī)的控制下 工作的,目前通常采用的是 motorola 公司的 mc

2、6805 系列的單片機(jī),而本設(shè)計(jì)中采用 了 intel 公司的 289c51 作為控制核心,以單片機(jī) 289c51 為核心結(jié)合接口芯片及外圍 電路以實(shí)現(xiàn)洗衣機(jī)的智能控制。其中模糊控制器的設(shè)計(jì)是關(guān)鍵環(huán)節(jié),采用傳感器檢測 洗衣過程必需的物理量,進(jìn)入模糊控制器,通過模糊推理,實(shí)現(xiàn)對洗衣機(jī)自動識別衣 質(zhì)、衣量,自動識別骯臟程度,自動決定水量,自動投入恰當(dāng)?shù)南礈靹┑裙δ艿目刂啤?本設(shè)計(jì)在洗滌過程中采用了實(shí)時模糊控制,提高洗衣質(zhì)量,節(jié)約能源。硬件結(jié)構(gòu)框圖及 軟件流程圖是該系統(tǒng)的重要組成部分,在整個控制過程中,模糊控制軟件起了決定性的 作用。 關(guān)鍵詞: 模糊控制;單片機(jī);全自動洗衣 abstract aut

3、omatic washing machines based on fuzzy control automatic control system, all the circuits are under the control of the microcontroller, the current commonly used is motorolas mc6805 series of microcontrollers, and the design of intel corporation 89c51 used as a control center to 89c51 microcontrolle

4、r core with the interface chip and the external circuit in order to achieve intelligent control of washing machines. design of fuzzy controller which is the key point, the use of sensors to detect physical quantities necessary for laundry into the fuzzy controller by fuzzy reasoning, the quality of

5、the clothes washing machine automatic identification, clothing and the amount of automatic identification soiled, water is determined automatically, automatic detergents and other functions into the appropriate control. the design used in the washing process real-time fuzzy control and improve the q

6、uality of laundry to save energy. the block diagram of the hardware and software flow chart is an important part of the system in the whole control process, the fuzzy control software has played a decisive role. keywords: fuzzy control; scm; automatic laundry 目錄目錄 摘摘 要要.i i abstractabstract.iiii 1 1

7、 緒緒 論論.1 1 1.11.1 全自動洗衣機(jī)的介紹全自動洗衣機(jī)的介紹 .1 1 1.1.1 全自動洗衣機(jī)的發(fā)展背景 .1 1.1.2 全自動洗衣機(jī)的發(fā)展前景 .1 1.1.3 全自動洗衣機(jī)的設(shè)計(jì)目的 .2 1.1.4 模糊控制理論簡介 .2 1.21.2 全自動洗衣機(jī)的設(shè)計(jì)方案全自動洗衣機(jī)的設(shè)計(jì)方案 .2 2 1.2.1 按鍵 .3 1.2.2 洗衣機(jī)自檢 .3 1.2.3 洗衣程序 .3 1.2.4 顯示 .3 1.2.5 參數(shù)處理 .3 2 2 硬件電路介紹硬件電路介紹.4 4 2.1cpu2.1cpu 選型選型 .4 4 2.22.2 89c5189c51 的存儲器與寄存器的存儲器與

8、寄存器 .5 5 2.3a/d2.3a/d 轉(zhuǎn)換器轉(zhuǎn)換器 .5 5 2.42.4 傳感器傳感器 .5 5 2.52.5 顯示器顯示器 .6 6 3 3 軟件設(shè)計(jì)軟件設(shè)計(jì).7 7 3.13.1 全自動洗衣機(jī)中的模糊控制全自動洗衣機(jī)中的模糊控制 .7 7 3.1.1 模糊控制器 .7 3.1.2 模糊控制實(shí)現(xiàn)方法 .7 3.23.2 軟件流程圖及代碼軟件流程圖及代碼 .8 8 3.2.1 寄存器 .8 3.2.2 流程圖及其代碼 .9 結(jié)結(jié) 論論 .4545 致致 謝謝 .4646 參考文獻(xiàn)參考文獻(xiàn) .4747 1 緒 論 1.1 全自動洗衣機(jī)的介紹 方便更加得到大家的青睞,全自動即進(jìn)水、洗滌、漂

9、洗、甩干等一系列過程自動完 成,控制器通洗衣機(jī)是一種在家庭中不可缺少的家用電器,發(fā)展非???而全自動式洗衣機(jī) 因使用常設(shè)有幾種洗滌程序,對不同的衣物可選擇不同的洗滌方式。 1.1.1 全自動洗衣機(jī)的發(fā)展背景 從古到今,洗衣服都是一項(xiàng)難于逃避的家務(wù)勞動,而在洗衣機(jī)出現(xiàn)以前,對于許 多人而言,它并不像田園詩描繪的那樣充滿樂趣,手搓、棒擊、沖刷、甩打這些 不斷重復(fù)的簡單的體力勞動,留給人的感受常常是:辛苦勞累。 1858 年,漢密爾頓史密斯制成了世界上第一臺洗衣機(jī)。1874 年,“手洗時代”受 到了前所未有的挑戰(zhàn),美國人比爾布萊克斯發(fā)明了世界上第一臺人工攪動洗衣機(jī)。 1911 年美國人又研制了世界上

10、第一臺電動洗衣機(jī)。1920 年美國的瑪依塔格公司又把洗 衣機(jī)的木制桶改為鋁制桶體,第二年又把鋁制桶體改為外層鑄鋁、內(nèi)層為銅板的雙層 結(jié)構(gòu)。1936 年,他們又將搪瓷用于洗衣機(jī)桶體。與此同時,世界各地也相繼出現(xiàn)了洗 衣機(jī)。歐洲國家研究成功了噴流式洗衣機(jī)和滾筒式洗衣機(jī)。 1932 年后,美國一家公司研制成功了第一臺前裝式滾筒全自動洗衣機(jī),洗滌、漂 洗和脫水都在同一個滾筒內(nèi)自動完成,使洗衣機(jī)的發(fā)展躍上了一個新臺階。這種滾筒 洗衣機(jī),目前在歐洲、美洲等地得到了廣泛的應(yīng)用。 第二次世界大戰(zhàn)結(jié)束后,洗衣機(jī)得到了迅速的發(fā)展,研制出具有獨(dú)特風(fēng)格的波輪 式洗衣機(jī)。這種洗衣機(jī)由于其波輪安裝在洗衣桶底,又稱渦卷式洗

11、衣機(jī)。 近幾十年,在工業(yè)發(fā)達(dá)國家,全自動洗衣機(jī)制造技術(shù)又得到迅速發(fā)展,其年總產(chǎn) 量及社會普及率均以達(dá)到相當(dāng)高得水平。 1.1.2 全自動洗衣機(jī)的發(fā)展前景 全自動洗衣機(jī)的發(fā)展首先表現(xiàn)在洗滌方式發(fā)生巨大變化。原先大多側(cè)重于水流的 改變、動力的加大?,F(xiàn)在,超音波、電解水、臭氧和蒸汽洗滌的運(yùn)用,使洗衣機(jī)的去 污能力從單純依靠洗衣粉、洗滌劑的化學(xué)作用和強(qiáng)弱變化的水流機(jī)械作用,向更高層 次的健康、環(huán)保洗滌方式轉(zhuǎn)變,特別是電解水、超音波技術(shù)在洗衣機(jī)行業(yè)的運(yùn)用幾乎 改變了洗衣機(jī)的歷史洗衣不用或少用洗衣粉、洗滌劑,減少化學(xué)品對皮膚的損害 和對環(huán)境的污染。電解水、臭氧、蒸汽的殺菌除味及消毒功能倍受青睞,引發(fā)了洗衣

12、 機(jī)消費(fèi)健康潮。 另一變化就是高度自動化、智能化、人性化。從半自動、全自動到現(xiàn)在流行的人 工智能、模糊控制,只需按一下按鈕一切搞定!同時,用戶可以按照自己的洗衣習(xí)慣, 自主選擇時間和方式,自編和記憶程序讓用戶真正做到隨心所欲。人性化還表現(xiàn)在使 用的方便和舒適,如子母分洗洗衣機(jī)可以做到不同衣物分開洗;斜桶和頂開滾筒可以 做到取放衣物方便不需深彎腰;蒸汽烘干功能使得晾曬更加方便,dd 直驅(qū)電機(jī)在節(jié)能 降噪方面效果更加突出,等等。 另外,大容量成為不變的消費(fèi)趨勢。前幾年,洗衣機(jī)容量多為 4-5 公斤,6 公斤 的大容量尚很少見。現(xiàn)在,7 公斤的容量已經(jīng)很普遍,8 公斤也正常?,F(xiàn)代人居空間不 斷擴(kuò)大,

13、對寬敞、舒適、方便要求更多,大能容小,大容量洗衣機(jī)一臺可頂一套。 業(yè)內(nèi)人士表示,尖端洗滌技術(shù)的革新,所表現(xiàn)出的洗衣方式更加注重健康和個性 化,已在市場發(fā)展中倍受歡迎。 1.1.3 全自動洗衣機(jī)的設(shè)計(jì)目的 目前中國洗衣機(jī)市場正進(jìn)入更新?lián)Q代期,市場潛力巨大,人們對于洗衣機(jī)的要求 也越來越高,目前的洗衣機(jī)主要有強(qiáng)弱洗滌功能、進(jìn)排水系統(tǒng)故障自動診斷功能、暫 停等七大功能,在許多方面還不能達(dá)到人們的需求。這就要求設(shè)計(jì)者們有更高的專業(yè) 和技術(shù)水平,能夠提出更多好的建議和新的課題,將人們的需要變成現(xiàn)實(shí),設(shè)計(jì)出更 節(jié)能、功能更全面、更人性化的全自動洗衣機(jī)。目前的洗衣機(jī)都沒有實(shí)現(xiàn)全方面的兼 容,大多洗衣的廠家都

14、注重各自品牌的洗衣機(jī)的特長,突出一兩個與別的洗衣機(jī)不同 的個性化的功能,洗衣機(jī)的各項(xiàng)功能是由單片機(jī)控制實(shí)現(xiàn)的,單片機(jī)的體積小,控制 功能靈活,因此,設(shè)計(jì)出基于單片機(jī)的全自動洗衣機(jī)控制電路系統(tǒng)具有很強(qiáng)的實(shí)用性。 1.1.4 模糊控制理論簡介 模糊控制是近代控制理論中建立在模糊集合輪上基礎(chǔ)上的一種基于語言規(guī)則與模 糊推理的控制理論,它是智能控制的一個重要分支。 與傳統(tǒng)控制理論相比,模糊控制有兩大不可比擬的優(yōu)點(diǎn):第一,模糊控制在許多 應(yīng)用中可以有效且便捷的實(shí)現(xiàn)人的控制策略和經(jīng)驗(yàn),這一優(yōu)點(diǎn)自從模糊控制誕生以來 就一直受到人們密切的關(guān)注;第二,模糊控制不需要被控對象的數(shù)學(xué)模型即可實(shí)現(xiàn)較 好的控制,這是因

15、為被控對象的動態(tài)特性已隱含在模糊控制器輸入、輸出模糊集及模 糊規(guī)則中。所以模糊控制被越來越多的應(yīng)用于各個領(lǐng)域,尤其是被廣泛應(yīng)用于家電系 列中,基于模糊控制的洗衣機(jī)就是其中的一個典型實(shí)例。 1.2 全自動洗衣機(jī)的設(shè)計(jì)方案 本系統(tǒng)實(shí)現(xiàn)了對洗衣機(jī)整個洗衣過程的控制,包括用戶參數(shù)輸入、洗衣、漂洗、 排水和脫水等階段??刂葡到y(tǒng)主要由電源電路、數(shù)字控制電路和機(jī)械控制電路三大模 塊構(gòu)成。電源采用三端集成固定穩(wěn)壓器 7805 提供+5v 電源,數(shù)字控制電路負(fù)責(zé)控制洗 衣機(jī)的工作過程,主要由 at89s51 單片機(jī)、三位共陰數(shù)碼管、按鍵、蜂鳴器、led 指 示燈組成;機(jī)械控制電路實(shí)現(xiàn)傳感器檢測、電機(jī)驅(qū)動、進(jìn)水、

16、排水等功能,主要由水 位檢測器、電動機(jī)、傳動系統(tǒng)部件、進(jìn)水排水電磁閥組成。 1.2.1 按鍵 洗衣機(jī)面板上有 6 個按鈕 k1、k2、k3、k4、k5 和 k6 k1 為啟動暫停鍵:按奇數(shù)次視為啟動,偶數(shù)次視為暫停。 k2 用于洗衣程序選擇:按一下選擇洗滌,按兩下選擇漂洗,按三下選擇脫水。 k3 用于洗衣方式選擇:按一下選擇標(biāo)準(zhǔn)洗方式,按兩下選擇快速洗方式,按三下 選擇輕柔洗方式。 k4 用于水位選擇:按一下進(jìn)水至低水位,按兩下進(jìn)水至中水位,按三下進(jìn)水至高 水位。 k5 用于時間選擇:按一下洗衣時間短,按兩下洗衣時間適中,按三下洗衣時間長。 1.2.2 洗衣機(jī)自檢 洗衣機(jī)上電后,先進(jìn)行自檢,包

17、括檢查安全開關(guān),排水閥狀態(tài),進(jìn)水閥工作過程, 電機(jī)的運(yùn)轉(zhuǎn)等,若發(fā)現(xiàn)異?,F(xiàn)象則蜂鳴器響,報(bào)警燈亮。 1.2.3 洗衣程序 (1)洗滌過程 通電后,若不選擇洗衣周期,則洗衣機(jī)從洗滌過程開始。進(jìn)入洗滌過程,首先進(jìn) 水閥接通,開始向洗衣機(jī)供水,當(dāng)?shù)竭_(dá)要求水位時,進(jìn)水閥斷電關(guān)閉,停止進(jìn)水;電 機(jī) m 接通,帶動波輪旋轉(zhuǎn),形成洗衣水流。電機(jī) m 是一個正反轉(zhuǎn)電機(jī),可以形成往返 水流,有利于洗滌衣物。 (2)漂洗過程 與洗滌過程操作相同,只是時間短一些。 (3)脫水過程 洗滌或漂洗過程結(jié)束后,電機(jī) m 停止轉(zhuǎn)動,排水閥 m 接通,開始排水。排水閥動 作的同時,電機(jī) m 也接通,使電機(jī)可以帶動內(nèi)桶轉(zhuǎn)動。當(dāng)水位

18、低到一定值,再經(jīng)過一 段時間后,電機(jī)開始正轉(zhuǎn),帶動內(nèi)桶高速旋轉(zhuǎn),甩干衣物。 1.2.4 顯示 洗滌、漂洗及脫水時間都通過倒計(jì)時的方式顯示在 3 個 led 上,依次為分位,秒 十位和秒個位,此外,還有發(fā)現(xiàn)異?,F(xiàn)象時錯誤信息的顯示。 1.2.5 參數(shù)處理 要對洗衣機(jī)進(jìn)行控制,首先要用各種傳感器不斷地檢測相關(guān)的狀態(tài),以作為控制 的依據(jù)。其中,污濁度傳感器,溫度傳感器和負(fù)載傳感器是模擬信號,需要經(jīng)過 a/d 轉(zhuǎn)換變成數(shù)字信號,而水位傳感器本身就是數(shù)字信號,單片機(jī)接受到這些傳感器的信 號以后,經(jīng)過一系列處理作出反應(yīng),從而控制洗衣機(jī)的工作。 2 硬件電路介紹 針對其功能,硬件電路應(yīng)包括七個部分:微處理器

19、控制電路、顯示電路、采樣電 路、電機(jī)控制電路、進(jìn)水閥控制電路、排水閥控制電路和按鍵報(bào)警電路。通過這幾個 部分電路的協(xié)調(diào)工作,洗衣機(jī)能模擬人腦進(jìn)行操作。 2.1cpu 選型 (1)pic 系列 pic 單片機(jī)系列是美國微芯公司(microchip)的產(chǎn)品,它的 cpu 分別有 33、35、58 條指令,屬精簡指令集。運(yùn)行速度快,它能使程序存儲器的訪問和數(shù)據(jù)存 儲器的訪問并行處理,這種指令流水線結(jié)構(gòu),在一個周期內(nèi)完成兩部分工作,一是執(zhí) 行指令,二是從程序存儲器取出下一條指令,這樣總的看來每條指令只需一個周期, 這也是高效率運(yùn)行的原因之一。此外,它還具有低工作電壓、低功耗、驅(qū)動能力強(qiáng)等 特點(diǎn)。pic

20、 系列單片機(jī)共分三個級別,即基本級、中級、高級。 pic 系列單片機(jī)的 i/o 口是雙向的,其輸出電路為 cmos 互補(bǔ)推挽輸出電路。i/o 腳增加了用于設(shè)置輸入或輸出狀態(tài)的方向寄存器,當(dāng)置位 1 時為輸入狀態(tài),且不管該 腳呈高電平或低電平,對外均呈高阻狀態(tài);置位 0 時為輸出狀態(tài),不管該腳為何種電 平,均呈低阻狀態(tài),有相當(dāng)?shù)尿?qū)動能力,低電平吸入電流達(dá) 25ma,高電平輸出電流可 達(dá) 20ma。 該系列單片機(jī)的專用寄存器,而是分散在四個地址區(qū)間內(nèi)。只有 5 個專用寄存器, 得反復(fù)地選擇對應(yīng)的存儲體,這多少給編程帶來了一些麻煩。 (2)avr 系列 avr 單片機(jī)是 atmel 公司推出的較為新

21、穎的單片機(jī),其顯著的特點(diǎn)為高性能、高 速度、低功耗。它取消機(jī)器周期,以時鐘周期為指令周期,實(shí)行流水作業(yè)。avr 單片 機(jī)指令以字為單位,且大部分指令都為單周期指令。而單周期既可執(zhí)行本指令功能, 同時完成下一條指令的讀取。通常時鐘頻率用 48mhz,故最短指令執(zhí)行時間為 250125ns。該系列的型號較多。 通用寄存器一共 32 個(r0r31) ,前 16 個寄存器(r0r15)都不能直接 與立即數(shù)打交道,因而通用性有所下降。 avr 系列沒有類似累加器 a 的結(jié)構(gòu),它主要是通過 r16r31 寄存器來實(shí)現(xiàn) a 的 功能。 (3)51 系列 51 系列優(yōu)點(diǎn)之一是它從內(nèi)部的硬件到軟件有一套完整的

22、按位操作系統(tǒng),稱作位處 理器,或布爾處理器。它的處理對象不是字或字節(jié)而是位。它不僅能對片內(nèi)某些特殊 功能寄存器的某位進(jìn)行處理,如傳送、置位、清零、測試等,還能進(jìn)行位的邏輯運(yùn)算, 其功能十分完備,使用起來得心應(yīng)手。雖然其他種類的單片機(jī)也具有位處理功能,但 能進(jìn)行位邏輯運(yùn)算的實(shí)屬少見。 有的單片機(jī)并不能直接對 ram 單元中的位進(jìn)行操作,如 avr 系列單片機(jī)中,若 想對 ram 中的某位置位時,必須通過狀態(tài)寄存器 sreg 的 t 位進(jìn)行中轉(zhuǎn)。 51 系列的另一個優(yōu)點(diǎn)是乘法和除法指令,這給編程也帶來了便利。八位除以八位 的除法指令,商為八位,精度嫌不夠,用得不多。而八位乘八位的乘法指令,其積為

23、十六位,精度還是能滿足要求的,用的較多。作乘法時,只需一條指令就行了。很多 的八位單片機(jī)都不具備乘法功能,作乘法時還得編上一段子程序調(diào)用,十分不便。 51 系列的 i/o 腳的設(shè)置和使用非常簡單,當(dāng)該腳作輸入腳使用時,只須將該腳設(shè) 置為高電平(復(fù)位時,各 i/o 口均置高電平) 。當(dāng)該腳作輸出腳使用時,則為高電平或 低電平均可。 綜上所述,我們本次設(shè)計(jì)采用 51 系列,它含有 4kb 可反復(fù)燒錄及擦除內(nèi)存和 128 字節(jié)的 ram,有 32 條可編程控制的 i/o 線,5 個中斷發(fā)源,指令與 mcs-51 系列完全 兼容。選用它作為核心控制新片,可使電路極大地簡化,而且程序的編寫及固化也相 當(dāng)

24、方便、靈活。選用它設(shè)計(jì)制作全自動洗衣機(jī)控制電路,該電路的組成相對簡單,工 作原理清晰,易于理解。 2.2 89c51 的存儲器與寄存器 89c51 單片機(jī)存儲器才用的是哈佛(harvard)即程序存儲器空間和數(shù)據(jù)存儲器空 間是各自獨(dú)立的,兩種存儲器各自有自己的尋址方式和尋址空間。 這種結(jié)構(gòu)對于單片機(jī)“面向控制”的實(shí)際應(yīng)用極為方便、有利。89c51 單片機(jī)程 序存儲器和數(shù)據(jù)存儲器的擴(kuò)展能力分別可達(dá) 64kb,尋址和操作簡單方便。 89c51 的存儲器空間可劃分為 5 類:程序存儲器、內(nèi)部數(shù)據(jù)存儲器、特殊功能寄 存器、為地址空間和外部數(shù)據(jù)寄存器。 2.3a/d 轉(zhuǎn)換器 a/d 轉(zhuǎn)換器種類很多,按及

25、人口方案來分,可分為并行接口和串行接口兩類。串 行接口又分為三線式接口和兩線式接口兩種。由于 289c51 串行口有限,而本此設(shè)計(jì)也 用到了很多串口,而且我們需要一個多通道的轉(zhuǎn)換器。 2.4 傳感器 本次設(shè)計(jì)中用到 4 個傳感器,分別為:ts 污濁度傳感器、溫度傳感器、負(fù)載傳感 器和水位傳感器。其中污濁度、溫度和負(fù)載傳感器輸出的都是模擬信號,需要通過 a/d 轉(zhuǎn)換才能作為單片機(jī)的控制信號,而水位傳感器本身輸出的就是數(shù)字信號,所以 不需要通過 a/d 轉(zhuǎn)換,直接可用做單片機(jī)的控制信號。 (1)ts 污濁度傳感器內(nèi)部原理 渾濁度檢測傳感器的主要原理是光電耦合器,而普通的光電耦合器不能有效的檢 測洗

26、衣機(jī)水的渾濁度。光電耦合器的光源必須是紅外光才能準(zhǔn)確的檢測渾濁度。ts 濁 度傳感器是 ge 公司開發(fā)的一種專門用于家電產(chǎn)品的低成本傳感器,主要用于洗衣機(jī)、 洗 碗機(jī)等產(chǎn)品的水污濁程度的測量。 (2)溫度傳感器 溫度傳感器有四種主要類型:熱電偶、熱敏電阻、電阻溫度檢測器(rtd)和 ic 溫 度傳感器下)。ic 溫度傳感器又包括模擬輸出和數(shù)字輸出兩種類型。 熱電偶應(yīng)用很廣泛,因?yàn)樗鼈兎浅?jiān)固而且不太貴。熱電偶有多種類型,它們覆 蓋非常寬的溫度范圍,從-200到 2000。它們的特點(diǎn)是:低靈敏度、低穩(wěn)定性、中 等精度、響應(yīng)速度慢、高溫下容易老化和有漂移,以及非線性。另外,熱電偶需要外 部參考端。

27、 (3)負(fù)載傳感器 根據(jù)模糊控制要求,負(fù)載檢測時通過檢測電動機(jī)的反電動勢來實(shí)現(xiàn)的,而電動機(jī) 的反電動勢比單片機(jī)所用電壓大的多,不能直接采樣,必須經(jīng)過隔離。采用線性光電 耦合器既能隔離高電壓和干擾,又能得到滿意的檢測信號。 (4)水位傳感器原理。 諧振式水位傳感器,采用了新型的傳感原理 ,把水位的高低 ,通過水位傳感器直接變 成水位與頻率的對應(yīng)關(guān)系。衣物的洗凈度、水流強(qiáng)度、洗滌時間等參數(shù)的檢測 ,對模糊 控制洗衣機(jī)在節(jié)水、節(jié)能、減少洗滌時間方面起決定性的作用。 2.5 顯示器 顯示器有 led 和 lcd 兩種。 lcd(liquid crystal display)是液晶顯示器英文名稱的縮寫,

28、液晶顯示器是一種被動 式的顯示器,即液晶本身并不發(fā)光,而是利用液晶經(jīng)過處理后能改變光線通過方向的 特征,達(dá)到白底黑字或黑底白字顯示的目的。 led(light emiting diode)是發(fā)光二極管英文名稱的縮寫。led 顯示器是由發(fā)光 二極管構(gòu)成的,所以在顯示器前面冠以“l(fā)ed” 。本次設(shè)計(jì)只是顯示時間,所以采用 led 就可以達(dá)到目的了。3 軟件設(shè)計(jì) 本次設(shè)計(jì)是基于模糊控制理論上的全自動洗衣機(jī),用戶只需要將衣物放進(jìn)洗衣機(jī), 按下啟動鍵,洗衣機(jī)就能自動完成洗滌-漂洗-脫水等一系列操作,當(dāng)然本次設(shè)計(jì)中還 考慮到半自動時的情況,用戶可以根據(jù)自己的需求自由選擇洗衣機(jī)將要進(jìn)行什么工作, 這一點(diǎn)是通

29、過按鍵來實(shí)現(xiàn)的。 3 軟件設(shè)計(jì) 3.1 全自動洗衣機(jī)中的模糊控制 3.1.1 模糊控制器 洗衣機(jī)控制器是洗衣機(jī)的大腦,洗衣機(jī)的洗滌、漂洗、脫水等動作均由控制器加 以控制,目前的全自動洗衣機(jī)采用控制器有模糊控制器和普通控制器兩種,二者之間 既有共同之處,又存在著差別。 洗衣機(jī)模糊控制器與普通控制器的相同點(diǎn)就在于二者均采用微電腦技術(shù),各自根 據(jù)洗衣機(jī)的控制原理編制出程序,對洗衣機(jī)實(shí)施控制。 洗衣機(jī)模糊控制器與普通控制器的主要區(qū)別在于二者控制思想不同。普通程序控 制器雖然使洗衣機(jī)在功能較普通洗衣機(jī)增強(qiáng)許多,但就其控制思想而言,仍談不上 “先進(jìn)” 它只是根據(jù)時間原則去設(shè)定洗衣機(jī)的洗滌、漂洗和脫水的運(yùn)行

30、時間,然后連續(xù)運(yùn)行, 完全不考慮其他因素。例如:洗衣服的多少、面料的軟硬、衣服的骯臟程度等,均未 加以考慮。而模糊控制器則是根據(jù)衣量的多少確定洗滌水量;根據(jù)面料軟硬和骯臟程 度確定洗滌時間等,這些都是控制規(guī)則,這些規(guī)則是人工經(jīng)驗(yàn)的積累。一般的說,模 糊控制器是利用人工智能方式,建立一組控制規(guī)則,編織成程序由微電腦執(zhí)行。這樣, 就形成了人工智能控制模式。因此,在控制思想上大大優(yōu)于普通程序控制器的控制思 想。 3.1.2 模糊控制實(shí)現(xiàn)方法 (一)基本結(jié)構(gòu)和控制過程 模糊控制是利用負(fù)載、衣質(zhì)、濁度、水溫等檢測所得到信息,進(jìn)行分段評估計(jì)算 使其模糊化,再根據(jù)模糊規(guī)則進(jìn)行推理,最后根據(jù)所激活的規(guī)則進(jìn)行解

31、模糊判決,以 決定最適當(dāng)和明確的水位、洗滌時間、洗滌方式以及脫水時間等。模糊控制洗衣機(jī)控 制結(jié)構(gòu)如圖 3-所示。 圖 3-1 模糊控制洗衣機(jī)控制結(jié)構(gòu) (二)模糊規(guī)則 (1)洗滌量和水量的確定: 如果檢測到衣物量很多,則洗滌量多,水位高; 如果檢測到衣物量較多,則洗滌量較多,水位適中; 如果檢測到衣物量很少,則洗滌量少,水位低。 (2)脫水時間的確定: 如果檢測到衣物很多,則脫水時間長; 如果檢測到衣物較多,則脫水時間適中; 如果檢測到衣物很少,則脫水時間短。 (三)洗滌時間的確定: 如果檢測到衣物很多,布質(zhì)以棉布偏多且水溫低,則洗衣時間長; 如果檢測到衣物較多,布質(zhì)以化纖偏多且水溫偏高,則洗衣

32、時間適中; 如果檢測到衣物較少,布質(zhì)以棉布偏多且水溫偏高,則洗衣時間適中; 如果檢測到衣物很少,布質(zhì)以化纖偏多且水溫高,則洗衣時間短。 (四)漂洗時間的確定: 如果檢測到洗滌水很臟,則漂洗時間長; 如果檢測到洗滌水較臟,則漂洗時間適中; 如果檢測到洗滌水不臟,則漂洗時間短。 3.2 軟件流程圖及代碼 3.2.1 寄存器 本次編程中用到的寄存器如表 3-1 所示。 表 3-1 寄存器 3.2.2 流程圖及其代碼 本次設(shè)計(jì)是分模塊進(jìn)行編寫的,以下就是各模塊的流程圖及其代碼。 寄存器注釋 r4,r5比較標(biāo)準(zhǔn)值 r4 高位, r5 低位 r6,r7a/d 轉(zhuǎn)換結(jié)果 r6 高 位,r7 低位 20h衣量

33、多位 21h衣量少位 22h衣量適中位 23h化纖多位 24h棉多位 27h1s 中斷標(biāo)志位 2ah1s 中斷次數(shù) 30h,31h洗滌時間 32h,33h漂洗時間 34h,35h脫水時間 36h,37h排水時間 38h漂洗次數(shù) 3ah電機(jī)正轉(zhuǎn)時間 3bh電機(jī)反轉(zhuǎn)時間 3ch電機(jī)轉(zhuǎn)速 3d洗滌量投放時間 40h顯示分緩存器 41h顯示秒十緩存器 42h顯示秒個緩存器 44h零水位 45h低水位 46h中水位 47h高水位 48h水位檢測值 50h啟動/暫停鍵按鍵次數(shù) 51h程序鍵按鍵次數(shù) 52h選擇鍵按鍵次數(shù) 53h水量鍵按鍵次數(shù) 54h時間鍵按鍵次數(shù) 1.主程序流程圖如圖 3-2 所示。 設(shè)置

34、堆棧指針 調(diào)洗衣機(jī)初始化程序 允許定時器中斷 自檢 調(diào)顯示子程序 調(diào)鍵盤掃描子程 序 開始 圖 3-2 主程序流程圖 程序代碼: main: mov sp,#60 lcall chishi ;調(diào)初始化程序 setb ea ;cpu 允許中斷 mov tmod,#10h ;設(shè)置定時器 1 方式 mov 28h,#0ah ;裝入定時中斷次數(shù) loop: lcall xianshi ;調(diào)顯示子程序 lcall janpan ;調(diào)鍵盤掃描子程序 sjmp loop 2.顯示子程序流程圖如圖 3-3 所示 顯示內(nèi)容送緩沖區(qū) 設(shè)顯示緩沖區(qū)指針 40h-r0 掃描模式置初值-r2 r2-8155c口 取顯示

35、數(shù)據(jù)段碼 送8155a口 延時1ms 顯示緩沖器r0加1 r3左移1位 y n 顯示到最后 一位嗎? 入口 返回 圖 3-3 顯示子程序流程圖 程序代碼: xianshi: mov r0,40h ;置緩沖器指 針初值 mov r2,#01h ;置位選初值 mov a,r2 ld0: mov dptr,#7f03h ;位選送 8155c 口 mov dptr,a dec dptr dec dptr mov a,r0 add a,#0dh ;加偏移量 movc a,a+pc dir1: movx dptr,a ;段碼送 8155a 口 acall dl1ms ;調(diào) 1ms 延時 inc r0 mo

36、v a,r2 jb acc.2,ld1 ;三位都顯示 完了嗎 rl a ;沒完,顯示 位右移 mov a,r2 ajmp ld0 ld0: ret dseg: db 3fh,06h,5bh,4fh,66h,6dh db 7dh,07h,7fh,6fh,77h,7ch db 39h,5eh,79h,71h,73h,3eh db 31h,6eh,1ch,23h,40h,03h db 18h,00 dl1ms: mov r7,02h dl: mov r6,#0ffh dl6: djnz r6,dl6 djnz r7,dl ld1: ret 3.鍵盤掃描子程序流程圖如圖 3-4 所示 入口 有鍵按下嗎

37、? 次數(shù)加1,轉(zhuǎn)水量設(shè)置 返回 暫停 次數(shù)加1,轉(zhuǎn)時間設(shè)置 是干擾嗎? 是啟動/暫停鍵嗎? 是程序鍵嗎? 次數(shù)加1,轉(zhuǎn)方式設(shè)置 次數(shù)加1,轉(zhuǎn)程序設(shè)置 是選擇鍵嗎? 是時間鍵嗎? 是水量鍵嗎? 次數(shù)加1, 是奇數(shù)次按下嗎? 轉(zhuǎn)運(yùn)行程序 y n n y n y y n y n y n y n n y 圖 3-4 鍵盤掃描子程序流程圖 程序代碼: jianpan: jb p1.0,k2 ;判斷是 k1 鍵按下嗎,不是則轉(zhuǎn) k2 lcall dl10ms ;調(diào) 10ms 延時消抖 jb p1.0,k2 ;再次讀 p1.0 狀態(tài),若仍為 0,則 k1 鍵確實(shí)按下 lcall pk1 ;調(diào) pk1 處理

38、k2: jb p1.1,k3 ;判斷是 k2 鍵按下嗎,不是則轉(zhuǎn) k3 lcall dl10ms ;調(diào) 10ms 延時消抖 jb p1.1,k3 ;再次讀 p1.0 狀態(tài),若仍為 0,則 k2 鍵確實(shí)按下 lacll pk2 ;調(diào) pk2 處理 k3: jb p1.2,k4 ;判斷是 k3 鍵按下嗎,不是則轉(zhuǎn) k4 lcall dl10ms ;調(diào) 10ms 延時消抖 jb p1.2,k4 ;再次讀 p1.2 狀態(tài),若仍為 0,則 k3 鍵確實(shí)按下 lcall,pk3 ;調(diào) pk3 處理 k4: jb p1.3,k5 ;判斷是 k4 鍵按下嗎,不是則轉(zhuǎn) k5 lcall dl10ms ;調(diào) 10

39、ms 延時消抖 jb p1.3,k5 ;再次讀 p1.3 狀態(tài),若仍為 0,則 k4 鍵確實(shí)按下 lcall pk4 ;調(diào) pk4 處理 k5: jb p1.4,return ;判斷是 k5 鍵按下嗎,不是則轉(zhuǎn) k6 lcall dl10ms ;調(diào) 10ms 延時消抖 jb p1.4,return ;在此讀 p1.4 狀態(tài),若仍為 0,則 k4 鍵確實(shí)按下 lcall pk5 ;調(diào) pk5 處理 return: ret pk1: inc 50h ;按鍵次數(shù)加 1 mov a,50h ;判斷是奇數(shù)次按下嗎 mov b,#2 div ab mov a,b jz a,zaiting ;若為偶數(shù)次按下

40、,則轉(zhuǎn)暫停狀態(tài) lcall yunzhuan ;若為奇數(shù)次按下,則進(jìn)入運(yùn)轉(zhuǎn)程序 zanting: inc 50h ;按鍵次數(shù)加 1 mov a,50h ;判斷是奇數(shù)次按下嗎 mov b,#2 div ab mov a,b jz a,zanting ;若為偶數(shù)次按下,則暫停 lcall yunzhuan ;若為奇數(shù)次按下,則進(jìn)入運(yùn)轉(zhuǎn)程序 return: ret 4.程序設(shè)置子程序流程圖如圖 3-5 所示。 入口 是第一次按 下嗎? 是第三次按 下嗎? 是第二次按 下嗎? 返回 調(diào)洗滌子程序 調(diào)脫水子程序 調(diào)漂洗子程序 y n y n y n 圖 3-5 程序設(shè)置子程序流程圖 程序代碼: pk2:

41、 inc 51h ;按鍵次數(shù)加 1 mov a,51h cjnz a,#1,l2 ;是第一次按下嗎,不是轉(zhuǎn) l2 lcall xidi ;是第一次按下,則調(diào)用洗滌子程序 l2: cjnz a,#2,l3 ;是第二次按下嗎,不是轉(zhuǎn) l3 lcall piaoxi ;是第二次按下,則調(diào)用漂洗子程序 l3: cjnz a,#3,return ;是第三次按下嗎,不是則返回 lxall tuoshui ;是第三次按下,則調(diào)用脫水子程序 return: ret 5.洗衣方式選擇子程序流程圖如圖 3-6 所示。 入口 是第一次按 下嗎? 是第三次按 下嗎? 是第二次按 下嗎? 返回 選擇標(biāo)準(zhǔn)洗滌 選擇輕柔洗

42、滌 選擇快速洗滌 y n y n y n 圖 3-6 洗衣方式選擇子程序流程圖 程序代碼: pk3: inc 52h ;按鍵次數(shù)加 1 mov a,52h ; mov dptr,#7f02h cjne a,#1,l4 ;是第一次按下嗎, ,不是轉(zhuǎn) l4 mov a,#0efh ;是第一次按下,則標(biāo)準(zhǔn)洗滌指示燈亮 movx dptr,a mov a,52h l4: cjne a,#2,l5 ;是第二次按下嗎,不是轉(zhuǎn) l5 mov a,#0dfh ;是第二次按下,則快速洗滌指示燈亮 movx dptr,a mov a,52h l5: cjne a,#3,return ;是第三次按下嗎,不是則返回

43、mov a,#0bfh ;是第三次按下,則輕柔洗滌指示燈亮 movx dptr,a return: ret 6.水量設(shè)置子程序流程圖如圖 3-7 所示 入口 是第一次按 下嗎? 是第三次按 下嗎? 是第二次按 下嗎? 返回 注水至低水位 注水至高水位 注水至中水位 y n y n y n 圖 3-7 水量設(shè)置子程序流程圖 程序代碼: pk4: inc 53h ;按鍵次數(shù)加 1 mov a,53h cjne a,#1,l6 ;是第一次按下嗎,不是轉(zhuǎn) l6 mov 45h, #08h ;是第一次按下,則進(jìn)水至低水位 l6: cjne a,#2,l7 ;是第二次按下嗎,不是轉(zhuǎn) l7 mov a,46

44、h,#09h ;是第二次按下,則進(jìn)水至中水位 l7: cjne a,#3,returen ;是第三次按下嗎,不是則返回 mov a,47h,#10h ;是第三次按下,則進(jìn)水至高水位 return: ret 7.時間設(shè)置子程序流程圖如圖 3-8 所示 入口 是第一次按 下嗎? 是第三次按 下嗎? 是第二次按 下嗎? 返回 選擇短時間5min 選擇長時間15min 選擇適中時間 10min y n y n y n 圖 3-8 時間設(shè)置子程序流程圖 程序代碼: pk5: inc 54h ;按鍵次數(shù)加 1 mov a,54h cjne a,#1,l8 ;是第一次按下嗎,不是轉(zhuǎn) l8 mov 48h,#

45、01h ;是第一次按下,則時間選擇 5min mov 49h,#2ch l8: cjne a,#2,l9 ;是第二次按下嗎,不是轉(zhuǎn) l9 mov 48h,#02h ;是第二次按下,則時間選擇 10min mov 49h,#58h l9: cjne a,#3,return ;是第三次按下嗎,不是則返回 mov 48h,#38h ;是第三次按下,則時間選擇 15min mov 49h,#40h return: ret 8.a/d 轉(zhuǎn)換流程圖如圖 3-9 所示。 入口 通道選擇 讀取結(jié)果 調(diào)平均值計(jì)算 轉(zhuǎn)換結(jié)束了嗎? 都轉(zhuǎn)換完 了嗎? 返回 啟動轉(zhuǎn)換 y n n y 圖 3-9a/d 轉(zhuǎn)換流程圖 9

46、.自檢子程序流程圖如圖 3-10 所示。 圖 3-10 自檢子程序流程圖 程序代碼: zijian: mov dptr,#7f02h ;自檢指示燈亮 mov a,#0fbh 入口 讀安全開關(guān) 狀態(tài)為低電平嗎? 開排水閥 開進(jìn)水閥 返回 指示燈亮 啟動電機(jī) 讀排水閥狀態(tài) 為低電平嗎? 到低水位了嗎? 到高水位了嗎? 停止電機(jī) 報(bào)警 報(bào)警 水位復(fù)位了嗎? 關(guān)排水閥 n n y y n y n y y n movx dptr,a jb p1.6,baojing1 ;讀安全開關(guān)狀態(tài),異常則報(bào)警 jb p2.3,baojing2 ;讀排水閥狀態(tài),異常則報(bào)警 mov 45h,#08h ;置低水位值 mov

47、 47h,#09h ;置高水位值 mov 44h, #00h ;置零水位值 clr p2.4 ;開進(jìn)水閥 lcall shuijian ;調(diào)水位檢測 mov a,45h l10: cjne a,48h,l10 ;到底水位了嗎,到了啟動電機(jī) clr p2.0 lacll shuijian mov a,47h l11: cjne a,48h,l11 ;到高水位了嗎,到了開排水閥 clr p2.3 lcall shuijian mov a,44h l12: cjne a,48h,l12 ;是零水位嗎,是則檢測完畢 setb p2.4 setb p2.0 setb p2.3 mov a,#offh m

48、ovx dptr,a baoojing1: mov 40h,#0eh ;顯示異常代碼 mov 41h,#0 mov 42h,#1 clr p1.7 ;蜂鳴器響,報(bào)警燈亮 jb p1.5,baojing1 ;判斷是否有人為干擾 setb p1.7 baojing2: mov 40h,#0eh ;顯示異常代碼 mov 41h,#0 mov 42h,#2 clr p1.7 ;蜂鳴器響,報(bào)警燈亮 jb p1.5,baojing2 ;判斷是否有人為干擾 setb p1.7 ret 9.運(yùn)轉(zhuǎn)程序流程圖如圖 3-11 所示。 入口 判斷是否 為全自動 進(jìn)入半自動洗滌 調(diào)漂洗子程序 返回 調(diào)檢測子程序 調(diào)洗滌

49、子程序 n y 圖 3-11 運(yùn)轉(zhuǎn)程序流程圖 程序代碼: yunzhuan: lcall panduan ;調(diào)判斷子程序 jz ld1 ;為 1 為全自動 lcall jianpan ld1: lcall jiance lcall xidi lcall paishui ret panduan: orl a,51h ;各按鍵次數(shù)相與,為 1 為全自動 orl a,52h orl a,53h orl a,54h ret 10.檢測子程序流程圖如圖 3-12 所示。 電機(jī)運(yùn)轉(zhuǎn)3s 調(diào)洗滌量(水量檢 測) 進(jìn)水至檢測水位 讀水位值-4ah 電機(jī)運(yùn)轉(zhuǎn)30s 調(diào)衣量檢測 調(diào)水位檢測讀水位值 -4bh 進(jìn)水

50、至檢測水位 電機(jī)運(yùn)轉(zhuǎn)15s 調(diào)衣質(zhì)檢測 調(diào)溫度檢測 確定洗衣時間 入口 返回 圖 3-12 檢測子程序流程圖 程序代碼: jiance: mov 39h,#3 ;電機(jī)運(yùn)轉(zhuǎn)時間 3s lcall dianji1 lcall shuiliang lcall jinshui mov 39h,#30 ;電機(jī)運(yùn)轉(zhuǎn)時間 30s lcall dianji1 lcall yiliang lcall shuijian lcall jinshui mov 39h,#15 ;電機(jī)運(yùn)轉(zhuǎn)時間 15s lcall dianji1 lcall zhiyi lcall wendu lcall shijian ret dian

51、ji1: clr p2.0 ;開電機(jī) setb tr1 ;開定時器 loop1: jnb 27h,loop1 clr 27h dec 39h cjne 39h,#o,loop1 clr tr0 setb p2.0 ret 11.洗滌量、水量檢測子程序流程圖如圖 3-13 所示。 調(diào)a/d轉(zhuǎn)換 入口 轉(zhuǎn)換結(jié)果 與標(biāo)準(zhǔn)最大值比較大于 最大值嗎? 轉(zhuǎn)換結(jié)果 與標(biāo)準(zhǔn)最小值比較小于 最小值嗎? 進(jìn)水至高水位,洗滌 量投放時間長 n n y y 返回 進(jìn)水至低水位,洗滌 量投放時間短 進(jìn)水至中水位,洗滌 量投放時間適中 圖 3-13 洗滌量、水量檢測子程序流程圖 程序代碼: shuiliang: lcal

52、l zhuanhuan0 mov r4,#00h ;標(biāo)準(zhǔn)最大值 mov r5,#0a0h mov a,r6 ;與標(biāo)準(zhǔn)最大值比較 cjne a,#00h,ld2 mov a,r7 clr c subb a,r5 jnc ld2 mov r4,#00h ;標(biāo)準(zhǔn)最小值 mov r5,#05h mov a,r6 ;與標(biāo)準(zhǔn)最小值比較 cjne a,#00h,ld3 mov a,r7 clr c subb a,r5 jc ld3 mov 46h, ;進(jìn)水至中水位 mov 3ch,#10 ;洗滌量投放時間適中 ajmp return ld2: mov 47h, ;進(jìn)水至高水位 mov 3ch,#15 ;洗滌

53、量投放時間長 ajmp return ld3: mov 45h, ;進(jìn)水至低水位 mov 3ch,#5 ;洗滌量投放時間短 return: ret 12.衣量檢測子程序流程圖如圖 3-14 所示 調(diào)a/d轉(zhuǎn)換 入口 轉(zhuǎn)換結(jié)果 與標(biāo)準(zhǔn)最大值比較大于 最大值嗎? 轉(zhuǎn)換結(jié)果 與標(biāo)準(zhǔn)最小值比較小于 最小值嗎? 脫水時間長,將20h位 置1 n n y y 返回 脫水時間短,將21h位 置1 脫水時間適中,將22h 位置1 圖 3-14 衣量檢測子程序流程圖 程序代碼: yiliang: lcall zhuanhuan0 mov r4,#00h ;標(biāo)準(zhǔn)最大值 mov r5,#0a0h mov a,r6

54、;與標(biāo)準(zhǔn)最大值比較 cjne a,#00h,ld4 mov a,r7 clr c subb a,r5 jnc ld4 mov r4,#0h ;標(biāo)準(zhǔn)最小值 mov r5,#05h mov a,r6 ;與標(biāo)準(zhǔn)最小值比較 cjne a,#00h,ld5 mov a,r7 clr c subb a,r5 jc ld5 setb 22h mov 34h,#01h ;脫水時間適中 mov 35h,#2ch mov 80h,#4 ;脫水倒計(jì)時暫存 mov 81h,#5 mov 82h,#9 ajmp: return ld4: setb 20h mov 34h,#02h ;脫水時間長 mov 35h,#58h

55、 mov 80h,#9 mov 81h,#5 mov 82h,#9 ajmp: return ld5: setb 21h mov 34h,#00h ;脫水時間短 mov 35h,#0b4h mov 80h,#2 mov 81h,#5 mov 82h,#9 return: ret 13.衣質(zhì)檢測子程序流程圖如圖 3-15 所示 兩次水位值相減 入口 結(jié)果 與標(biāo)準(zhǔn)最大值比較大于 最大值嗎? n n y 返回 將23h位置1 將24h位置1 圖 3-15 衣質(zhì)檢測子程序流程圖 程序代碼: yizhi: mov a,4ah ;讀第一次水位值 clr c subb a,4bh ;讀第二次水位值 mov

56、r5,#o5h clr c subb a,r5 ;兩次值相減比較 jnc ld6 setb 23h ajmp return ld6: setb 24h return: ret 14.溫度檢測子程序流程圖如圖 3-16 所示 調(diào)a/d轉(zhuǎn)換 入口 轉(zhuǎn)換結(jié)果 與標(biāo)準(zhǔn)最大值比較大于 最大值嗎? 轉(zhuǎn)換結(jié)果 與標(biāo)準(zhǔn)最小值比較小于 最小值嗎? 將25h位置 1 將26h位置 1 將27h位置 1 n n y y 返回 圖 3-16 溫度檢測子程序流程圖 程序代碼: weudu: lcall zhuanhuan1 mov r4,#00h ;標(biāo)準(zhǔn)最大值 mov r5,#f0h mov a,r6 ;與標(biāo)準(zhǔn)最大值比

57、較 cjne a,#00h,ld7 mov a,r7 clr c subb a,r5 jnc ld7 mov r4,#00h ;標(biāo)準(zhǔn)最小值 mov r5,#0eh mov a,r6 ;與標(biāo)準(zhǔn)最小值比較 cjne a,#00h,ld8 mov a,r7 clr c subb a,r5 jc ld8 setb 27h ;溫度適中位置 1 ajmp return ld7: setb 25h ;溫度高位置 1 ajmp return ld8: setb 26h ;溫度低位置 1 return: ret 15.時間確定子程序流程圖如圖 3-17 所示 入口 衣量多位 和棉多位和溫度低位相 與為1嗎? 衣

58、量少位 和棉少位和溫度高位相 與為1嗎? 洗滌時間長 洗滌時間長 洗滌時間長 返回 y n y n 圖 3-17 時間確定子程序流程圖 程序代碼: shijian: mov a,20h ;各位相與確定洗滌時間 anl a,23h anl a,26h jz ld9 mov a,21h anl a,24h anl a,25h jz ld10 mov 30h,#02h ;洗滌時間適中 mov 31h,#58h mov 83h,#9 ;洗滌倒計(jì)時暫存 mov 84h,#5 mov 85h,#9 ajmp return ld9: mov 30h,#38h ;洗滌時間長 mov 31h,#40h mov

59、83h,#0eh mov 84h,#5 mov 85h,#9 ajmp return ld10: mov 30h,#01h ;洗滌時間短 mov 31h,#2ch mov 83h,#4 mov 84h,#5 mov 85h,#9 return: ret 16.進(jìn)水子程序流程圖如圖 3-18 所示 入口 開進(jìn)水閥 調(diào)水位檢測 水位到了嗎? 關(guān)排水閥 返回 n y 圖 3-18 進(jìn)水子程序流程圖 17.水位檢測子程序流程圖如圖 3-19 所示 入口 開計(jì)數(shù)器,開始計(jì)數(shù) 返回 讀計(jì)數(shù)值-48h 圖 3-19 水位檢測子程序流程圖 程序代碼: shuijian: mov tmod,#04h ;定時器

60、t0 設(shè)置為計(jì)數(shù)方式 mov th0,#00h ;計(jì)數(shù)初值 程序代碼: jinshui: clr p2.4 ;開進(jìn)水閥 loop4: lcall shuijian ;調(diào)水位檢測 mov a,48h cjne a,45h,ld11 ;檢測值與標(biāo) 準(zhǔn)值比較 ajmp l10 ld11: cjne a,46h,ld12 ajmp l10 ld12: cjne a,47h,loop4 l10: setb p2.4 ;若水位到了, 關(guān)閉進(jìn)水閥 ret mov tl0,#00h setb tr0 ;啟動定時器 mov 48h,tl0 ;記錄檢測值 ret 18.污濁度檢測子程序流程圖如圖 3-20 所示

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論