電、計、通、自專業(yè)預(yù)習(xí)報告(后面附有期末考題,考試時隨機抽取一道_第1頁
電、計、通、自專業(yè)預(yù)習(xí)報告(后面附有期末考題,考試時隨機抽取一道_第2頁
電、計、通、自專業(yè)預(yù)習(xí)報告(后面附有期末考題,考試時隨機抽取一道_第3頁
電、計、通、自專業(yè)預(yù)習(xí)報告(后面附有期末考題,考試時隨機抽取一道_第4頁
電、計、通、自專業(yè)預(yù)習(xí)報告(后面附有期末考題,考試時隨機抽取一道_第5頁
已閱讀5頁,還剩13頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、20082009第二學(xué)期 電子實驗課表 模擬實驗部分 ( 2008年 12月)電工電子 技術(shù)實驗周一周二周三周四周五第節(jié)7: 509:25過 071 10機電 071 14 機電 072 15第節(jié)9: 3512:10化 071 9 化 074 10熱 071 11 機電 071 14 機電 072 15第節(jié)13:3015:00自 071 9 化 072 10 自 071 12 計 071 13 自 071 15 電 071 16 計 071 7 計 073 8 自 072 9 機 071 10 機電 072 11 自 072 12 電 072 13 測 071 14 自 072 15 電 07

2、2 16 計 073 17 自 073 9 過 072 10熱 072 11 自 073 12 計 072 13自 073 15 計 071 16 電 071 8 通 071 9 機 072 10 通 071 12 通 072 13通 071 16 通 072 17 計 072 7 電 072 8 通 072 9 機電 071 10電 071 12計 073 13 測 072 14計 072 16 第節(jié)15: 0016:30自 071 9 化 073 10 自 071 12 計 071 13 自 071 15 電 071 16 計 071 7 計 073 8 自 072 9 機 071 10

3、機電 072 11 自 072 12 電 072 13 測 071 14自 072 15 電 072 16 計 073 17 自 073 9 過 073 10儲 071 11 自 073 12 計 072 13 自 073 15 計 071 16 電 071 8 通 071 9 機 072 10 通 071 12 通 072 13通 071 16 通 072 17 計 072 7 電 072 8 通 072 9 機電 071 10電 071 12計 073 13 測 072 14計 072 16 第節(jié)( 11,12 節(jié)) 18:0021:30機 072 15 機 071 14測 071 10

4、測 072 11 注: 1.所有參加實驗的同學(xué)必須在實驗前一周由各班的學(xué)習(xí)委員統(tǒng)一到4401 房間購買實驗報告紙,每張 1角2模電實驗室地點:主樓 4405 室。數(shù)電實驗室地點:主樓 1306 室。3實驗名稱對應(yīng)情況 : 實驗一:電子技術(shù)實驗常用儀器儀表的使用及二極管和三極管測試 ; 實驗二:單管放大電路 ; 實驗三:運算放大器的基本運算電路 ; 實驗四:積分微分電路 ; 自選頻率正弦波振蕩器和反相放大器偶合的設(shè)計與實施 (4 學(xué)時)4. 實驗課指導(dǎo)教師:模電實驗:晏涌;機電 0712、機 0712 和測 0712 的班級所做的實驗 實驗四是:振蕩器實驗 。電 0712:61 人;計 0712

5、3:75 人;通 0712:61 人;自 07123:87 人;測 0712:49 人;機 0712:67 人;機電 0712:60 人;化 071234:128 人;過 07123:87 人;熱 0712:57 人; 儲 071: 30 人共計 :723 人 注意:實驗報告由學(xué)習(xí)委員統(tǒng)一交上來,不單獨受理。通、計、自、電的同學(xué)請注意:實驗成績的給出:1實驗點名 2每次實驗的預(yù)習(xí)報告 3實驗操作成績 4實驗報告數(shù)據(jù)處理及卷面成績 5實驗操作考試成績(占 50%)。實驗操作考試一般在第18 周的雙休日,具體情況看通知。網(wǎng)址為: 。模擬實驗預(yù)習(xí)參考第一次實驗(實驗 1 和實驗 2)實驗一電子技術(shù)實

6、驗常用儀器儀表的使用及二極管三極 管測試預(yù)習(xí)參考參考實驗教材 P47-52 ,寫出實驗預(yù)習(xí)報告,設(shè)計出相 關(guān)的實驗步驟并繪制填寫測量數(shù)據(jù)的表格。未帶實驗教材和未寫出實驗預(yù)習(xí)報告的同學(xué),不得進 入實驗室!一實驗?zāi)康模ㄒ妼嶒灲滩?P47)二實驗儀器1 TDS1000B數(shù)字雙蹤示波器2 TFG1010函數(shù)信號發(fā)生器3 THM-1型模擬電子電路實驗系統(tǒng)箱 凡是帶接地符號的插口, 它們已經(jīng)在實驗箱內(nèi)部聯(lián)結(jié)在 一起了(地是共用的) 。三實驗內(nèi)容與步驟( 1). 雙蹤示波器電源接通 12min,選擇語言和自動量程 就可以直接進行測量。(2). 調(diào)節(jié)信號發(fā)生器使其輸出信號分別為:U1=0.1V 、f1=500

7、Hz;U2=2V、f2=1000Hz的正弦波。用示波器測量各信 號電壓及頻率值,測試數(shù)據(jù)填入表 2-1 中。晶體管毫伏表讀出的電壓0.1V2.0V信號發(fā)生器產(chǎn)生的信號頻率500Hz1000Hz示波器( VOLT/div )檔位值峰 - 峰波形格數(shù)峰-峰值電壓 UP-P /V計算有效值 /V示波器( TIME/div )檔位值周期格 數(shù)信號周期 Tf=1/T(3). 用指針式萬用表判斷二極管的陰陽極和三極管的e、c、b 極。對于指針式萬用表來說,紅表筆接內(nèi)部電池的負極,當(dāng)按照下圖測量二極管的時候,會有如圖的結(jié)果:當(dāng)我們發(fā)現(xiàn)指針偏轉(zhuǎn)較大時, 黑表筆接的是二極管的陽極。 對于 NPN三極管,先畫出它

8、的等效電路:由此我們可以設(shè)計出用指針式萬用表判斷B 極和 NPN、PNP型三極管的方法。關(guān)于 C、E 極的判斷,可以仔細參考實驗講義。實驗二 單管放大電路預(yù)習(xí)參考一 實驗?zāi)康模ㄒ妼嶒炛v義P50)二 實驗儀器1TDS1000B數(shù)字雙蹤示波器2TFG1010函數(shù)信號發(fā)生器YB-2172 晶體管毫伏表3THM-1型模擬電子電路實驗系統(tǒng)箱實驗線路(畫在實驗報告紙上)當(dāng)i = 0 時,放大電路處于“靜態(tài)” 。A此時晶體管的 I B、I C、 UBE、UCE 被稱為放大電路的靜態(tài) 工作點(一般為四項,用 I BQ、I CQ、 UBEQ、 UCEQ表示)。B當(dāng)輸入信號 i 不為零時, i 與靜態(tài)值 UB基礎(chǔ)

9、上疊加, 產(chǎn)生一個動態(tài)的 i B、i C。C集電極電阻 RC將 i C的變化以電壓的形式把 CE的變化表 現(xiàn)出來(由于示波器是觀察輸出端的電壓波形,故和輸入 電壓的波形反相) 。我們這里用實驗的方法來確定 合適的 Q點。四 實驗步驟(根據(jù)簡練、明確的原則,自己重新設(shè)計, 寫在實驗報告紙上) 將信號發(fā)生器、實驗箱和示波器按上圖聯(lián)接好。 打開信號發(fā)生器的電源,使其產(chǎn)生某一個頻率的正弦 波信號(例如 1K、2K等,注意: 信號的頻率不要太高) 。 打開示波器的電源,選擇 CH1 通道,選擇合適的垂直 靈敏度,觀察輸出信號的波形。 改變 i 的大小(調(diào)節(jié)信號發(fā)生器的輸出信號的VP-P或調(diào)節(jié)實驗箱里的作

10、為 RW的電位器旋鈕)同時調(diào)節(jié) RB2 使放大器分別產(chǎn)生飽和失真和截止失真。 反復(fù)調(diào)節(jié) RB2,改變 i 的大小,使示波器顯示的圖形 幅度最大且不失真的正弦波形(或上下同時失真) ,說 明放大器的靜態(tài)工作點合適! 去掉輸入信號(此時不可再調(diào)節(jié) RB2?。?i =0 ,用示波器的直流擋測量 E、O 點的 UEO值,以此來計算 IC 的值。用示波器的直流擋測量 UC0 和 UEO的值,計算 UCE 的值(不 可直接用示波器直接去測量 UCE?。?UCE = U CO UEO 用示波器測量 UBO的值,由此得到 UBE的值:UBE = U BOUEO 各個實驗箱晶體管的 值略有差別,為方便起見,

11、三 極管 3DG6的取 79 進行計算:IB = (I E)/ (1+) . 計算放大器的電壓放大倍數(shù),調(diào)節(jié)信號發(fā)生器,在雙 顯示模式下, 用示波器的 CH1觀測輸入信號 i 的 VP-P 分別等于下表的值 , 用示波器的 CH2測量輸出電壓 UO的 VP-P 值,計算放大器的電壓放大倍數(shù),填入表中,得到 該放大器的動態(tài)數(shù)據(jù) (我們可以看到輸入信號和輸出信 號相位相反) 。把測得的數(shù)據(jù)填入下表:相之間的實驗數(shù)據(jù)可能有所不同 !以在本實驗箱上測得 的數(shù)據(jù)為準,不要憑“感覺”私自修改數(shù)據(jù)。第二次實驗 實驗三、四預(yù)習(xí)參考 運算放大器的基本運算電路 1 實驗?zāi)康?(1)掌握用集成運算放大器組成比例、求

12、和電路的方法。 (2)加深對線性狀態(tài)下運算放大器工作特點的理解。 2 知識要點( 1 )運算放大器線性組件是一個具有高放大倍數(shù)的放大 器,當(dāng)它與外部電阻、電容等構(gòu)成閉環(huán)電路后,就可組成 種類繁多的應(yīng)用電路。在運算放大器線性應(yīng)用中可構(gòu)成以 下幾種基本運算電路:反相比例運算、同相比例運算、反 相求和運算、加減混合運算等。(2)實驗參考電路見圖 2-9 。靜態(tài)值動態(tài)值uo 的波 形UCQUBQUEQICuiuoAuRB2 合適RB2 減小RB2 增大如何判斷往哪邊擰 RB2 變大呢?方法:去掉輸入信號, 用示波器的直流檔觀察 UB,調(diào)節(jié) RB2,發(fā)現(xiàn) UB 上升,表 明 RB2減小 (在我們的實驗箱

13、里, 逆時針擰, RB2減小?。?. 測量輸入電阻 Ri 及輸出電阻 Ro 。1). 測量輸入電阻 Ri 在放大電路與輸入信號之間串 入一個固定電阻 RS =10k ,用晶體管毫伏表標定輸入信號 Ui =70mV、f 約為 1000Hz,用示波器測量 US 的值并按照下式 計算 Ri 的值:圖 2-9 比例運算放大電路圖 2-9a R 1 =10k R f =100k R =R1 /R f 圖 2-9b R 1 =10k R f =100k R =R1/R f 圖 2-9c R 1=R2=R3=10kRf =20kR =R1/R 2/R 3/R f圖 2-9d R 1 = R2 =10k R3

14、=5k R= Rf = 20k ( 3)上述運算電路 (在如上參數(shù)設(shè)置下 系)對應(yīng)的輸出電壓關(guān)2). 測量輸出電阻 Ro 去掉放大器的負載,測量空載 時的輸出電壓 UO和帶負載( RL=10K)時的輸出電壓 UO,按照下式計算 Ro ,并將測量結(jié)果記入實驗書所示 的表 2-3 中。UoUR1Uo(1Rf)U圖 2-9b ,iR1RfRfRfU o (U i 1Ui 2 f U i3 )R1R2R3圖 2-9a,圖 2-9c,RfRfRfU o ( fU i1 f Ui 2 U i 3 )o R 1 i1 R 2i 2 R 3 i 3圖 2-9d實驗報告1進入實驗室前必須有實驗預(yù)習(xí)報告!根據(jù)實驗

15、講義和預(yù)習(xí)參考,在實驗報告紙上重新組織并填寫“實驗?zāi)康摹?實驗儀器和實驗線路” ,自己設(shè)計實驗步驟和實驗表格, 否則沒有實驗分數(shù)。2上本次實驗的時候,交上一次的實驗報告。3因各個實驗箱里三極管及各個電阻、電位器的差異,互腳情況如下:LM324,接 12V 電源,其管3 實驗內(nèi)容及要求 利用“模擬實驗裝置”中的集成運算放大器(LM324),按要求選擇電阻并連接電路,注意電阻R 的選擇應(yīng)滿足輸入電阻平衡。加入直流信號 Ui , 用數(shù)字萬用表測量輸出電 壓 Uo 。將測量值與理論值比較,計算相對誤差。( 1)反相比例運算按圖 2-9a 連接線路,輸入 3 種不同幅值的 Ui ,測量 Uo,將測量結(jié)果

16、和計算值填入表 2-6 中。Ui / mvUo/mv測量理論誤差(%)反相100比例5001000同相比例1005001000表 2-6 比例運算測試數(shù)據(jù)( 2)同相比例運算 按圖 2-9b 連接線路,輸入 3 種不同 幅值的 Ui ,測量 Uo,將測量結(jié)果和計算值填入表 2-6 中。( 3)反相求和運算 按圖 2-9c 接線,按表 2-7 要求輸入 3 組幅值不同的信號,分別測量輸出值,并與理論值比較, 計算誤差,填入表 2-7 中。( 4)加減混合運算 按圖 2-9d 接線,按表 2-7 要求輸入 3 組幅值不同的信號,分別測量輸出值,并與理論值比較, 計算誤差,填入表 2-7 中。表 2

17、-7 加減運算測試數(shù)據(jù)輸入信號 Ui / mV輸出信號 Uo/ mVUi1Ui2Ui3測量值理論值誤差 (%)反相 求和 運算第一組100200400第二組200300200第三組400100300加減 混合 運算第一組100200400第二組400300200第三組200400100積分電路實驗 積分電路如下圖所示:上式表明,輸出電壓 Vo 是輸入電壓對時間的積分。由于是 反相輸入,故差一個負號。若輸入的信號為方波( 250Hz, VP-P=2V,方波中高電平 持續(xù)的時間是 2ms,峰值是 1V),因此,電容器以恒流的方 式進行充電。如果我們在半個周期內(nèi)進行積分,則:這是個斜率為負值的直線方

18、程。它表明,當(dāng)輸入波 形為方波的時候,輸出 Vo 是直線。由于方波是高低電平交 替變化,故構(gòu)成三角波。 上式中, RC叫做時間常數(shù),常用 綱是時間)。當(dāng)充電時間 t 等于 增大,直到等于運放的電源電壓。 表示( R 和 C 相乘的量時,Vo=Vs,當(dāng) t ,Vo改變輸入方波的頻率, 實際上就是改變充電時間 t 的數(shù)值。 在實際應(yīng)用電路中,為了防止低頻信號增益過大,常在電 容上并聯(lián)一個電阻加以限制,即圖中的電阻。 實驗步驟 1將信號發(fā)生器、 模擬實驗箱和示波器按照上述線路接好。 2將信號發(fā)生器的輸出調(diào)至頻率為250HZ、VPP 為的方波。3用示波器的直流擋觀察積分波形。4將積分時間常數(shù) RC按照

19、下列表中的數(shù)值進行調(diào)整, 測量輸出波形的 VPP。5 計算輸出波形的 VPP(這項工作必須在進入實驗室前完 成)。6 計算誤差。積分時間常數(shù) 計算輸 出電壓測量輸出 電壓相對誤差100K0.1 10ms30K3ms0.1 100K0.01 1ms30K0.3ms0.01 10K0.1ms0.01 利用“虛地”和“虛斷”的概念,2,流進運放的電流近似為零,于是有 I1I2,電容就以電流 i VS/R 進行充電 (V S是變量 ) 。電容器上的電壓為( V=Q/C):電容器上的電荷為: V=Q/C, 當(dāng)極板上的電荷量 Q 或電壓 V 發(fā)生變化時,在電路中 就要引起電流:微分電路實驗 微分電路如下圖

20、所示:這個電流就是流過電阻 R的電流, 在電阻 R 上產(chǎn)生電壓降: 也就是:這個式子表明, 輸出電壓和 RC及輸入電壓微分的負值成比 例,即輸出的負值隨著 R、C 及電壓的變化率的增加而增加。 顯然,輸入正弦、方波和三角波,輸出得到余弦、尖頂脈 沖波和方波。實驗步驟 :1)按上圖連接線路。2)由信號發(fā)生器輸入一個三角波信號 (uiP-P =2V、f=250Hz )。 用雙蹤示波器同時觀察 ui 和 uo,測量輸出信號的峰 - 峰值 uop-p 和周期 T,繪制輸入、輸出波形。3)由信號發(fā)生器輸入一個方波信號( uiP-P =2V、f=250Hz )。 用示波器同時觀察 ui 和 uo,繪制波形

21、。4)由信號發(fā)生器輸入一個正弦波信號(有效值ui =1V、f=250Hz )。用示波器同時觀察 ui 和 uo,繪制波形。改變輸 入信號的頻率,注意相位關(guān)系的變化。選做:正弦波振蕩電路當(dāng)儲能元件和其他元件相組合,就有可能構(gòu)成振蕩電 路,例如 RC、LC 振蕩電路。振蕩發(fā)生的時候,如果能量不 能夠及時得到補充,該振蕩就無法維持。如果提供的能量 補充,在相位上和輸入信號不同步,振蕩也沒有辦法繼續(xù)。同理,對于正弦振蕩,同相位的正反饋也是不可缺少 的。在保證相位相同的前提下,控制反饋信號的幅度,就 可以省略原先的輸入信號 (Xi 可以是一個微小的自然擾動) , 振蕩就會持續(xù)下去。 這說明,要使一個振蕩

22、持續(xù)下去,必須要滿足如下兩個條 件: 放大電路(用以提供具有合適幅值的能量作為反饋信號) 和反饋選頻網(wǎng)絡(luò)(保證正反饋信號的相位和輸入端信號的 相位相同)。我們用下面的框圖來說明這個問題:此時,上圖表示的輸入輸出關(guān)系可以用下圖來表示:上面的結(jié)果告訴我們,只要滿足上面兩個條件,該電路 就會產(chǎn)生持續(xù)的振蕩。我們可以證明有下列關(guān)系式存在 ( 具體推導(dǎo)可參考康華光電子技術(shù)基礎(chǔ)第 397 頁) :是輸出端能夠產(chǎn)生的具有各種頻率正弦波的集合。 虛部等于零就意味著同相位, 里一定有一個頻率為 0 的 正弦波,它滿足使虛部為零的條件。只要控制放大電路的 增益為 3,就滿足了 |AF|=1 的條件,在輸出端就可以

23、得到 我們想要的能夠通過選頻網(wǎng)絡(luò)的正弦波。實驗線路用集成電路組成的 RC正弦波振蕩器的線路參考如下:在上圖中, R1、C1 組成了高通濾波器, 它只允許頻率高 于 f0 的波通過。 R2、C2 組成了低通濾波器,在它的輸入端 對高于 f 0頻率的波進行衰減(選頻) 。因此,在 M 點只有頻率等于 f 0 的正弦波才有可能具有 最大值。由于是同相輸入,只要控制Rf/Ri2 就可以滿足 AF=1 的條件。1按上圖接好電路。2取 R=10K、 C=0.1 。測量頻率 f c計算頻率 f 0誤差 f c f 0R=10KC=0.1 R=10KC=0.01 真的正弦波的振蕩波形,讀取周期。 4按 f 0

24、=1/ (2RC)計算振蕩頻率。 5取 C=0.01 重復(fù) 3-5 步。53仔細調(diào)節(jié) 10K電位器, 使示波器熒光屏上剛好出現(xiàn)不失第四次實驗 (綜合性實驗 )自選頻率正弦振蕩器和反相放大器耦合的設(shè)計與實施 一實驗?zāi)康?通過直接接觸四運放,鍛煉查閱資料、通盤考慮 問題的能力。 根據(jù)現(xiàn)場的實際情況,設(shè)計合乎要求的正弦波振 蕩器。 體會交流放大器在耦合過程中分離直流分量的必要。 進一步體會放大器放大倍數(shù)和輸入頻率的相互制 約帶寬。 練習(xí)設(shè)計總體方案、表格設(shè)計、實驗步驟等。 實驗器材:四運放 LM324 芯片、模擬實驗箱和示波器。 二實驗內(nèi)容(設(shè)計要求)和過程要求: 1利用信號發(fā)生器,測量 LM324

25、 芯片的帶寬(自己設(shè)計 測量和表格,通過實驗,測量出該放大器的單位增益帶 寬值)。2用四運放 LM324 之一設(shè)計正弦波振蕩器,并把該振蕩 器的振蕩輸出作為信號源使用。 要求該振蕩器的振蕩頻 率大于 2 KHZ ( 根據(jù)實驗箱中現(xiàn)有元器件的情況, 自己 選擇元器件并計算輸出信號的振蕩頻率,要有計算過 程。 LM324 要使用雙電源 )。3用分壓的方法調(diào)節(jié)該振蕩器輸出端正弦波的VP-P 值。4用四運放 LM324 之二,設(shè)計一個反相輸入的交流放大 器 (要求設(shè)計放大倍數(shù)為 10 倍)。5 用自己設(shè)計的信號發(fā)生器作為信號源,通過幅值調(diào)節(jié) 裝置,把信號輸入到自己設(shè)計的交流放大器中,并用示 波器測量輸

26、入、輸出波形的 VP-P 值,測量所設(shè)計放大 器的實際放大倍數(shù) (本步驟的設(shè)計圖紙必須在進入實驗 室前畫好,相應(yīng)部位的波形標志在旁邊) 。6 用示波器的 CH1 通道觀察起振波形 (或反相放大器的 輸入信號 ),CH2 通道觀察反相放大器的輸出信號,并用示 波器的雙顯示功能,觀察兩個波形的相位,讀出相位差。 7根據(jù)實際測量的結(jié)果,計算誤差并分析原因。 設(shè)計要求:1畫出所設(shè)計的電路圖, 注明所設(shè)計正弦波的頻率及放大 器的放大倍數(shù)。2設(shè)計合適的表格和實驗步驟, 測量反相交流放大器的帶 寬。3設(shè)計合適的表格,填寫在實驗室測量的數(shù)據(jù)(實際振蕩 頻率、正弦波幅值的調(diào)節(jié)范圍、放大后正弦波的 VP-P 值以

27、及對應(yīng)的放大倍數(shù)) 。4實驗完成以后,寫出實驗報告及心得體會,提出優(yōu)化的 方案和值得注意、改進的地方,總結(jié)實驗不盡人意的地 方和原因。5進入實驗室以后,教師即刻檢查預(yù)習(xí)及設(shè)計報告情況, 及時給出預(yù)習(xí)分數(shù)。6凡沒有寫出預(yù)習(xí)報告的同學(xué),不得進入實驗室做實驗。7為了方便同學(xué)們寫預(yù)習(xí)報告,實驗室平時開放。有需要 晚上或雙休日進行預(yù)習(xí)的同學(xué),可以事先預(yù)約,由本實 驗室實驗員負責(zé)開門值班。 歡迎大家在實驗室進行現(xiàn)場 預(yù)習(xí)和設(shè)計。數(shù)字實驗預(yù)習(xí)參考(注:所有實驗 處理數(shù)據(jù)都要按照實驗 教程上的實驗報告要求來完成! ?。嶒炓?基本邏輯門邏輯功能測試及使用預(yù)習(xí)參考 實驗預(yù)習(xí)報告內(nèi)容 :一 實驗?zāi)康?、?. 實驗

28、設(shè)備與儀 器、 三 . 實驗線路、 四. 實驗內(nèi)容與數(shù)據(jù)、 五. 數(shù)據(jù)分析 與實驗結(jié)論、 實驗體會與思考題。 以上見實驗教程 P76 P79。( 1) 測試 TTL 系列與門、或門、與非門、異或門及反相 器的邏輯功能。并把結(jié)果填入下表中:輸入輸出與門或門與非門異或門反相器A(K1)B(K2)Q=ABQ=A+BQ=ABQ=ABQ=A00011011( 2)驗證 CMOS系列或非門 CD4002邏輯功能,記錄測試數(shù) 據(jù)并填入下面的記錄表格。輸入輸出 (或非門)A (K1)B (K2)C(K3)Q=A B C000001010011100101110111(3)測試兩種系列芯片的輸出高、低電平,并將

29、測試數(shù)據(jù) 填入下面的記錄表格。TTL 系列CMOS系列VOH( V) =VOH( V) =VOL( V) =VOL( V) =( 4)三態(tài)門 74LS125 應(yīng)用測試:三態(tài)門 74LS125 邏輯功能 驗證:三態(tài)輸出門又稱三態(tài)電路。它與一般門電路不同, 它的輸出端除了出現(xiàn) 0、1 外,還可以出現(xiàn)第三個狀態(tài),即 高阻態(tài),亦稱禁止態(tài)。 利用 74LS125三態(tài)門 “線與”連接,(多 個三態(tài)門 “線與 ”連接構(gòu)成總線結(jié)構(gòu)使用時, 在同一時刻只容 許一個工作,其他路三態(tài)門被禁止! )三個三態(tài)門的輸入分 別接高電平、地、連續(xù)脈沖。根據(jù)三個開關(guān)不同狀態(tài)觀察 指示燈的變化,體會三態(tài)門的功能,并把結(jié)果填入下表

30、中(注:對于機 06、機電 06、測 06 和化 06、過 06、熱 06 此部分內(nèi)容是選做 )。實驗數(shù)據(jù)變化的曲線, 見圖 2-2 。通過它可讀得與非門的一些重要參 數(shù),包括輸出高電平 UOH、輸出低電平 UOL、關(guān)門電平 UOFF、 開門電平 UON、閾值電平 UT、及抗干擾容限 UNT、 UNH等值。K1K2K3Q011101110111b)實驗二 TTL74 系列與非門器件參數(shù)測試預(yù)習(xí)參考 (測、機、 機電專業(yè)適用)實驗預(yù)習(xí)報告內(nèi)容在實驗教程無,另行給出如下。1實驗?zāi)康模?)掌握 TTL 集成與非門的主要參數(shù)的測試方法。(2)掌握 TTL 器件的使用規(guī)則。(3)進一步熟悉數(shù)字電路實驗箱

31、的結(jié)構(gòu)、基本功能和 使用方法。(4)加深對與非門邏輯功能的認識。2知識要點( 1)本實驗采用二輸入四與非門74LS00,即在一個集成塊內(nèi)含有 4 個互相獨立的與非門,每個與非門有兩個輸 入端。其引腳排列見圖 2-1 。圖 2-2 與非門傳輸特性3 實驗內(nèi)容及要求(1)74LS00技術(shù)參數(shù)的測試 Iil 測試線路見圖 2-3a ,I ih 測試線路見圖 2-3b, UOH、UOL測試線路見圖 2-3c 。將測試結(jié) 果記入表 2-1 中。a)圖 2-1 74LS00 管腳圖(2)TTL 與非門的主要參數(shù)及電壓傳輸特性1)低電平輸入電流 I IL 和高電平輸入電流 I IH 。 I IL 是指被測

32、輸入端接地,其余輸入端懸空時,由被測輸入端流出的電 流值; I IH 是指被測輸入端接高電平,其余輸入端接地的輸 入電流。 I IL 相當(dāng)于前級門輸出低電平時,后級向前級門灌 入的電流,因此,它關(guān)系到前級門的灌電流負載能力,即 直接影響前級門電路帶負載的個數(shù);在多級門電路中,I IH相當(dāng)于前級門輸出高電平時,前級門的拉電流負載,其大 小關(guān)系到前級門的拉電流負載能力。由于 I IH 較小,難以測 量,一般免于測試。1)輸出高電平 UOH 當(dāng)輸入端任何一個接低電平時輸出的 電平值。2)輸出低電平 UOL 當(dāng)輸入端全部為高電平時輸出的電平 值。3)扇出系數(shù) N0 是指同一型號與非門作為負載時,輸出所

33、 能驅(qū)動同類門的最大數(shù)目,它是衡量門電路負載能力的一 個參數(shù)。4)平均傳輸延遲時間 t pd 是衡量門電路開關(guān)速度的重要參 數(shù),是指輸入波形上升沿的 50Uim 至輸出波形下降沿 50 Uom兩點的時間間隔 tpdl 與輸入波形下降沿的 50Uim 至輸出 波形上升沿 50 Uom兩點的時間間隔 t pdh之和的平均值。5)電壓傳輸特性 與非門的輸出電壓 Uo 隨輸入電壓 Ui 而c) d) 圖 2-3 參數(shù)測量線路表 2-1 TTL 與非門電參數(shù)測試表I IL /mAI IH /uAUOH /VUOL /V( 2)電壓傳輸特性的測試按圖 2-3d 接線,調(diào)節(jié)電位器Rw,使 Ui 從 0V 向

34、高電平變化,逐點測量 Ui 和對應(yīng)于 Ui 的 Uo 值,記入表 2-2 中。表 2-2 TTL 傳輸特性測試表Ui /V00.30.50.80.911.11.21.3U0/VUi /V1.41.51.61.82.02.43.03.64.0U0/V4 預(yù)習(xí)要求(1)復(fù)習(xí) TTL 與非門各參數(shù)定義、意義與測試方法。( 2)熟悉與非門靜態(tài)傳輸特性及測試方法。5實驗器材( 1)數(shù)字實驗系統(tǒng)。(2)數(shù)字萬用表。(3)74LS00集成電路、 1k電位器、 200電阻各 1個。 6實驗報告要求( 1)記錄、整理實驗結(jié)果,并對結(jié)果進行分析。( 2)畫出實測的電壓傳輸特性曲線(要求用坐標紙) ,并 從中讀出有

35、關(guān)參數(shù)值。7思考題( 1)TTL 與非門輸入端懸空相當(dāng)于輸入何種邏輯?為什么?實驗三 譯碼器、編碼器及數(shù)碼顯示電路預(yù)習(xí)參考(仿真 實驗)實驗預(yù)習(xí)報告內(nèi)容在實驗教程無,另行給出如下。一實驗?zāi)康?)掌握組合邏輯電路的設(shè)計和測試方法。2)熟悉利用基本門電路設(shè)計集成譯碼器邏輯功能的方法。3)進一步掌握使用 MAX+plusII 工具進行數(shù)字電路設(shè)計的 方法。二實驗原理1)譯碼器 譯碼器的邏輯功能是將每個輸入的二進制 代碼譯成對應(yīng)的輸出高和低電平信號。常見的譯碼器有二 進制譯碼器、十進制譯碼器和顯示譯碼器等。二進制譯碼 器的輸入是一組二進制代碼,輸出是一組與代碼一一對應(yīng) 的高低電平。2 )實驗邏輯圖由圖

36、 3-1 可得出輸出邏輯表達式Y(jié)3 AB E N ,Y 2 A B E N , Y1AB E N , 如圖 3-3 所示。 ) 在 Project Name 框中,鍵入 test1 ,若改變 test1 所 屬子目錄,用戶可在 Directories 窗口中修改。4)選擇 OK,則 MAX+plusII9.5 標題欄會變成新的項目名稱: MAX+plusII Manager-d:Max2worktest1 。. 建立新的圖形輸入文件Y0A B E N 。式中 EN 作為公共使能端,是低電平有效的信號。 A、 B 兩個輸入變量取值的不同,會輸出對應(yīng)不同 的四個量,輸出量也是低電平有效。)選擇 G

37、raphic Editor file圖形輸入后,再選擇 OK,則出現(xiàn)一個無名稱的圖形編輯窗口,如圖 3-5 所示。圖中 標明了每個按鈕的功能,這些按鈕在今后的設(shè)計中會經(jīng)常 用到。)在無名稱的編輯窗口中,選擇 File save 或 save as , 出現(xiàn) save as 窗口,在 File Name 中,輸入 test1.gdf 文 件名, .gdf 是缺省擴展名。選擇 OK,即將文件 test1.gdf 保存到當(dāng)前項目子目錄下。圖 3-1 2-4 譯碼器邏輯功能圖注意:此圖上的元器件符號可能與軟件中元器件符 號不同 !三 . 實驗內(nèi)容首先必須熟悉 MAX+plusII 軟件的使用 (看實驗

38、指導(dǎo)書 P165-176 的內(nèi)容)。( 1)MAX+plusII 使用入門:1. 建立新項目編輯圖形輸入文件MAX+plusII 為實現(xiàn)不同的邏輯功能,提供了大量的圖元和宏功能符號來供設(shè)計人員在圖表編輯器文件中直接使用。其中, Prim( Altera 圖元庫)包括基本的邏輯塊電路,mf(宏功能庫)包括所有)用 MAX+plusII編譯一個設(shè)計文件之前,必須先指定)啟動 MAX+plusII :在 WINDOWS 98/2000界面下, 單擊開始程序 Altera MAX+plusII9.5 ,進入 MAX+plusII9.5 管理器窗口,如圖 3-2 所示。一個項目文件,選中 File Pr

39、oject Name,顯示對話框,塊的步驟如下:圖 3-5) 選擇工具有效時, 鼠標以確定輸入位置。)選擇 Enter Symbol Symbol 對 話 框 , 在maxplusmax2libprim74 系列邏輯。輸入圖元或宏功能 圖形編輯口在圖形編輯器窗口的空白處單擊或雙擊鼠標就會出現(xiàn)一個 Enter Symbol Libraries 框 中 選 擇路徑,所有的 Altera 圖元)在文件菜單中, 選中 File New,出現(xiàn)如圖 3-4 所 示的 New對話框, New的對話框是請讀者選擇輸入方法: 是 圖形輸入、符號輸入、文本輸入還是波形輸入,現(xiàn)指導(dǎo)讀 者使用圖形輸入。就會以列表的方

40、式顯示出來,選中所需的輸入圖元,然后 選擇 OK,所選的圖元就會出現(xiàn)在圖形編輯器中,如圖 3-6 所示。只要重復(fù)上述兩步,即連續(xù)選取圖元。 74 系列符號 的輸入方法和圖元的輸入方法相似,只要 在 Symbol Libraries 框中選擇 “ maxplusmax2libmf ” 路徑即可。 圖元的符號表示采用美國標準,與我國標準有所不同。 )如果需要聯(lián)結(jié)兩個端口,可將鼠標移到其中一個端口 上,這時鼠標指示符自動變?yōu)椤?+”形狀,然后一直按住鼠 標左鍵并將鼠標拖到第二個端口,放開左鍵,則一條連線 被畫好了,如圖 3-7 所示。如果需要刪除一根連線,可單 擊此線使其變成高亮線,然后按 Del

41、鍵即可。)下面放置輸入輸出引腳,放置方法與放置圖元相似, 即在圖形編輯器窗口的空白處雙擊鼠標左鍵后,顯示出 Enter Symbol 對話框。只要在符號對話框中鍵入 Input ,然 后選擇 OK ,符號 Input 就會顯示在圖形編輯器中。 若在 Enter Symbol 對話框中鍵入 Output ,則 Output 就會顯示出來。 在 引腳的 Pin-Name 處雙擊鼠標左鍵,可以對引腳進行命名 , 如圖 3-8 所示。如果要重復(fù)放置同一個符號,可用復(fù)制符號的方法, 這樣可以提高圖形輸入的速度,復(fù)制符號的具體方法是將 鼠標放在所要復(fù)制的符號上, 按下 Ctrl 鍵和鼠標左鍵不放,同時拖住

42、鼠標,并把它放在指定位置即可完成。另外還可 以單擊右鍵,用 Copy 和 Paste 命令進行。單擊右鍵也可對符號、引腳或引線進行水平或垂直翻轉(zhuǎn)、 或旋轉(zhuǎn) 90 、180 和 270 。除了引腳以外,對引線也可以進行命名。方法是選中需命 名的引線,然后輸入名字。對于 n 位寬的總線 A 命名,可 以采用 An 0 形式,其中單個信號可用 A0、A1、A2,An 形式。同一名稱的引線即使在圖形中不相連,它們在邏輯 上也是相連的。因此對較長或較難連通的聯(lián)結(jié)線只要將它 們命名為同一名稱即可相連。如果引線與引腳同名,則表示這條引線與引腳是相連 的,但不能存在相同名稱的引腳。)圖形編輯器選項 在圖形編輯

43、窗口的 Options 菜單中 列出了編輯圖形時的一些選項,如圖 3-9 所示,包括文本 的字型和大小控制、線型、顯示任務(wù)和網(wǎng)絡(luò)控制等,讀者 可以根據(jù)需要進行選擇。編譯項目文件MAX+plusII 編譯器可以檢查項目中的錯誤,并進行邏 輯綜合,將項目最終設(shè)計結(jié)果加載到 Altera 器件中去,并 為模擬和編程產(chǎn)生輸出文件。我們將利用編譯器檢查輸入 圖形文件的錯誤,并對編譯后的結(jié)果進行功能仿真和時序 仿真。1)打開編譯器窗口:在 MAX+plusII 菜單內(nèi)選擇 Compiler 菜單項,則出現(xiàn)編譯器窗口,如圖 3-10 所示。2)選擇 Start 即可開始對所要編譯的項目文件進行處理。 在編譯

44、項目文件期間,所有信息、錯誤和警告將會自動在 信息處理窗口中顯示出來。如果有錯誤發(fā)生,選中該錯誤 信息,然后按下 Locate 按鈕,就會定位在該錯誤所在設(shè)計 文件中的位置,如圖 3-11 所示。3)如果輸入圖形文件有錯誤,可修改錯誤后,再重復(fù)1)、2)。編譯通過后,編譯器會將項目的設(shè)計結(jié)果加載到一個Altera 器件中,同時產(chǎn)生報告文件、編程文件和用于仿真 的輸出文件。5. 創(chuàng)建波形文件并進行功能仿真 設(shè)計輸入和編譯僅僅是整個設(shè)計過程的一部分,成功 的編譯只能保證為項目創(chuàng)建一個編程文件,而不能保證該 項目將按期望的那樣運行。因此需要通過模擬來驗證項目 的功能是否正確。在模擬過程中,需要給 M

45、AX+plusII 模擬 器提供輸入變量,模擬器將利用這些輸入信號來產(chǎn)生輸出 信號(與可編程器件在同一條件下產(chǎn)生的信號相同) 。 根據(jù)所需的信息種類, 設(shè)計人員可用 MAX+plusII 進行功能 或時序模擬。功能模擬僅僅測試項目的邏輯功能,而時序模擬不僅測試邏輯功能,還可測試目標器件最差情況下的 時間關(guān)系。創(chuàng)建模擬文件和功能模擬的方法如下:1)從 File 菜單中選擇 New,然后選擇 Waveform Editor File ,從下拉列表中選擇 .scf 擴展名,并按 OK,即可創(chuàng)建 一個新的無標題文件,如圖 3-12 所示。2)從 File 菜單中選擇 End Time,鍵入 1 s,按

46、 OK鍵, 設(shè)置了模擬的時間長度為 1 s 。3)在 Options 菜單中選擇 Grid Size ,鍵入 40ns ,按 OK鍵,網(wǎng)格時間間距即變成 40ns 。4)在圖 3-43 中選擇 Node 菜單中的 Enter Nodes from SNF 菜單項或在窗口內(nèi)的空白處單擊鼠標右鍵, 則 Enter Nodes From SNF對話框?qū)⒊霈F(xiàn)在屏幕上 ,如圖 3-13 所示。僅選中 Type 框中 input 和 output 項,再選擇 list ,可列出所有 的 input 和 output 。單擊可使用節(jié)點或組窗口中的所需項, 選擇右箭頭,把選中的結(jié)點或組送到右窗口 , 選擇 O

47、K 后, 出現(xiàn)波形編輯器。010,),如圖 3-15 所示。7)選擇 File Save As, 在 File Name 框中會自動出現(xiàn) test1.scf ,然后選擇 OK,存盤。8)在 MAX+plusII 菜單中, 選擇 Simulator ,出現(xiàn)如圖 3-16 所示的對話框,單擊 Start 按鈕,若無錯誤,則顯示零錯 誤零警告框,單擊 OK后,出現(xiàn)波形框,這時可根據(jù)輸入波形來觀 察對應(yīng)的輸出波形是否正確。9)通過光標鍵移動參考線,可觀察此時參考線處的數(shù)據(jù), 數(shù)據(jù)值顯示在第二列。10)利用右側(cè)的放大工具將波形放大,會發(fā)現(xiàn)輸出波形并 沒有完全對應(yīng)于輸入波形,如圖 3-17 所示,這是由于

48、延時 產(chǎn)生的。6. 進行時序分析 編譯完成后,可以利用時序分析器( Timing Analyzer )來 分析設(shè)計項目的性能。時序分析器提供了三種分析模式, 見表 3-1 。圖 3-13 Enter Nodes from SNF 對話框5)此時 output 為不定態(tài),可根據(jù)需要編輯input 的狀態(tài)來觀察輸出波形,即可以 利用左邊給出的快捷按鈕進行波形編輯。是選擇工具,可以用來選擇一段波形。按下選擇工具,在波形編輯區(qū)拖動鼠標即可選中一段波形。也可單擊 Name以選中整個波形。是字符輸入工具。 是波形編輯工具,按下編 輯工具按鈕,在波形編輯區(qū)拖動鼠標即可修改一段波形。 波形的最小變化不會超過網(wǎng)

49、格間距,故可以改變網(wǎng)格間距 設(shè)定波形最小變化?,F(xiàn)在介紹更靈活的波形編輯工具,如 圖 3-14 所示給出了各波形編輯工具快捷鍵的主要功能。分析模式說明延遲矩陣分析多個源節(jié)點和目標節(jié)點之間的傳播延遲路徑時序邏輯電路性能分析時序邏輯電路的性能、包括限制性能的延遲,最小的時鐘周期和最高的電路工作頻率建立/保持 矩陣計算從輸入引腳到觸發(fā)器、鎖存器和異步RAM的信號輸入所需最少的建立和保持時間在這里只做傳播延遲分析 , 在 Analysis 菜單中選擇 Delay Matrix 項,然后選擇 Start ,則時序分析器立即開 始對項目進行分析 , 并計算項目中每對聯(lián)結(jié)的結(jié)點之間的 最大和最小傳播延遲時間,

50、如圖 3-18 所示。表格中顯示的 延遲時間與所選的器件的速度有關(guān)。至于如何選擇編程所 用的器件,我們以后再逐步介紹。6)這里我們輸入 input 的所有狀態(tài)( ABC:000、 001、10表 3-1 時序分析器(2)通過MAX +PLUS II 軟件試用基本門電路按圖 3-1 的 連接成一個譯碼器電路,并進行功能仿真及時序分 析。四 . 預(yù)習(xí)要求1 預(yù)習(xí)時序電路的分析和設(shè)計方法。2 預(yù)習(xí)計數(shù)器的工作原理。3 根據(jù)實驗要求應(yīng)事先畫出總體接線圖及寫出詳 細的設(shè)計步驟。五 . 實驗報告要求1 寫出設(shè)計過程,畫出實驗電路圖,并繪制仿真后 的波形圖。2 總結(jié)時序電路的特點及實驗心得體會。實驗四 中小

51、規(guī)模集成電路組合邏輯設(shè)計預(yù)習(xí)參考 實驗預(yù)習(xí)報告內(nèi)容:一 實驗?zāi)康摹⒍?. 實驗設(shè)備與儀 器、 三 . 實驗線路、 四. 實驗內(nèi)容與數(shù)據(jù)、 五. 數(shù)據(jù)分析 與實驗結(jié)論、 實驗體會與思考題。 以上見實驗教程 P64 P65。其中: 二. 實驗器材與設(shè)備給出的實驗電路器件: 2 輸入端與門 74LS08,2 輸入端 與非門 74LS00,2 輸入端或門 74LS32,非門 74LS04, 2 輸( 入端或非門 4001, 4 輸入端與非門 4012( 2 塊),4 輸入端 或非門 4002。四 . 實驗內(nèi)容與數(shù)據(jù)、 設(shè)計內(nèi)容要求: 計 06、電 06、通 06 和自 06 要求選擇設(shè)計題目 A 、 B ;機 06、機電 06、測 06 要求選擇設(shè)計題目 B、 C;化 06-14, 過 06-14,熱 06-1、2 實驗題目為: 保險柜的兩層門上各裝有一個開關(guān),當(dāng)任何一層門打開 時,報警燈亮,試用一邏輯門來實現(xiàn)。 . 某同學(xué)參加四門課程考試,規(guī)定如下: (1) 課程 A 及格得 1 分,不及格得 0 分; (2)課程 B及格得 2 分,不及格得 0分;(3)課程 C 及格得 4 分,不及格得 0 分;(4)課程 D 及格

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論