多路彩燈控制器_第1頁(yè)
多路彩燈控制器_第2頁(yè)
多路彩燈控制器_第3頁(yè)
多路彩燈控制器_第4頁(yè)
多路彩燈控制器_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、目錄前 言-5第1章 方案論證-61.1 方案一-61.2 方案二-61.3 最佳方案選擇-7第2章 模塊設(shè)計(jì)及其功能-82.1模塊功能描述-82.2時(shí)序控制模塊-82.3顯示控制模塊-10第3章 十六路彩燈控制器的實(shí)現(xiàn)-143.1 整體功能描述-143.2 設(shè)計(jì)原理-143.3 程序編譯與仿真-163.4 程序下載-183.5 硬件測(cè)試-203.6 本章小結(jié)-20第4章 結(jié)論-21第5章 總結(jié)-22致謝-23參考文獻(xiàn)-24附錄-25通信工程專業(yè)課程設(shè)計(jì)任務(wù)書院(系) 電 信 工 程 系 專業(yè)班級(jí) 通 信 工 程 專 業(yè) xx班 學(xué)生姓名 x x 一、計(jì)算機(jī)綜合課程設(shè)計(jì)題目 多 路 彩 燈 控

2、 制 器 的 設(shè) 計(jì) 二、計(jì)算機(jī)綜合課程設(shè)計(jì)工作自xxxx年 x 月 x 日 起至 xxxx 年 x 月 x 日止三、計(jì)算機(jī)綜合課程設(shè)計(jì)進(jìn)行地點(diǎn): 電 信 工 程 系 實(shí) 驗(yàn) 室 四、計(jì)算機(jī)綜合課程設(shè)計(jì)的內(nèi)容要求: 熟練掌握eda技術(shù)利用計(jì)算機(jī)方面的課程解決專業(yè)課程方面的具體問題,通過分析問題、設(shè)計(jì)調(diào)試、最終達(dá)到解決問題,完成課程設(shè)計(jì)任務(wù),達(dá)到培養(yǎng)實(shí)踐的目的。 設(shè)計(jì)一個(gè)彩燈控制器,使彩燈(led管)能連續(xù)發(fā)出6種以上不同的顯示形式;具有6種花型循環(huán)變化。整個(gè)系統(tǒng)共有三個(gè)輸入信號(hào):控制彩燈節(jié)奏快慢的基準(zhǔn)時(shí)鐘信號(hào)clk_in,系統(tǒng)清零信號(hào)clr,彩燈節(jié)奏快慢選擇開關(guān)chose_key;共有16個(gè)輸

3、出信號(hào)led15.0,分別用于控制十六路彩燈。 要有多種花型變化。 多種花型可以自動(dòng)變化,循環(huán)往復(fù)。 彩燈變化的快慢節(jié)拍可以選擇。 具有清零開關(guān)。 指 導(dǎo) 教 師 x x 系(教 研 室) 通 信 工 程 教 研 室 接受任務(wù)開始執(zhí)行日期 xxxx年x月x 日 學(xué)生簽名 多路彩燈控制器的設(shè)計(jì)xx(xxxx大學(xué)電信工程系通信xx班,xx省 xx市 郵編)指導(dǎo)教師:xx摘 要:當(dāng)今時(shí)代科技發(fā)展日異月新,彩燈作為一種景觀應(yīng)用越來越多。在電子電路設(shè)計(jì)領(lǐng)域中,電子設(shè)計(jì)自動(dòng)化(eda)工具已成為主要的設(shè)計(jì)手段。它的發(fā)展給電子系統(tǒng)的設(shè)計(jì)帶來了革命性的變化,eda軟件設(shè)計(jì)工具,硬件描述語言,可編程邏輯器件(p

4、ld)使得eda技術(shù)的應(yīng)用走向普及。本次設(shè)計(jì)是十六路彩燈控制器,現(xiàn)代生活中,彩燈已經(jīng)成為必不可少的景觀,本次設(shè)計(jì)本著與實(shí)際生活密切聯(lián)系的原則,論述了使用vhdl設(shè)計(jì)十六路彩燈控制器的過程。vhdl為設(shè)計(jì)提供了更大的靈活性,使程序具有更高的通用性。同時(shí)也提高了設(shè)計(jì)的靈活性、可靠性和可擴(kuò)展性,為大學(xué)生更好地認(rèn)識(shí)社會(huì)提供了很好的機(jī)會(huì)。關(guān) 鍵 詞: 電子設(shè)計(jì)自動(dòng)化(eda);vhdl; 彩燈控制器; design of multi-channel colored lantern controllercheng jie (grade07,class1,major of communication eng

5、ineering,dept. of e.i.of shaanxi university of technology, han zhong 723003 china)tutor:wei rui abstract :the times on different scientific and technological development on new, lantern as an increasing number of landscape applications. in the field of electronic circuit design, electronic design au

6、tomation (eda) tools have become primary design tool. its development to the design of electronic systems has brought a revolutionary change, eda software design tools, hardware description language, programmable logic devices (pld) allows the application of eda technologies to spread. this design i

7、s 16 light controllers, modern life has become an essential landscape lights, this design closely in line with the principles of real life, discusses the use of vhdl design 16 road lantern controller process. vhdl as the design provides greater flexibility to process a higher versatility. also impro

8、ve design flexibility, reliability and scalability for a better understanding of community college students a good opportunity.key words:electronic design automation (eda); vhdl; lantern controller; 前 言隨著科學(xué)技術(shù)的發(fā)展以及人民生活水平的提高,在現(xiàn)代生活中, 彩燈作為一種裝飾既可以增強(qiáng)人們的感觀,起到廣告宣傳的作用,又可以增添節(jié)日氣氛,為人們的生活增添亮麗。隨著電子技術(shù)的發(fā)展, 應(yīng)用系統(tǒng)向著小型

9、化、快速化、大容量、重量輕的方向發(fā)展,eda(electronic design automatic) 技術(shù)的應(yīng)用引起電子產(chǎn)品及系統(tǒng)開發(fā)的革命性變革。vhdl 語言作為可編程邏輯器件的標(biāo)準(zhǔn)語言描述能力強(qiáng), 覆蓋面廣, 抽象能力強(qiáng), 在實(shí)際應(yīng)用中越來越廣泛。在這個(gè)階段,人們開始追求貫徹整個(gè)系統(tǒng)設(shè)計(jì)的自動(dòng)化,可以從繁重的設(shè)計(jì)工作中徹底解脫出來,把精力集中在創(chuàng)造性的方案與概念構(gòu)思上,從而可以提高設(shè)計(jì)效率,縮短產(chǎn)品的研制周期。作為一種工業(yè)標(biāo)準(zhǔn)硬件描述語言。相比傳統(tǒng)的電路系統(tǒng)的設(shè)計(jì)方法,vhdl具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下(top to down)和基于庫(kù)(librarybased)的

10、設(shè)計(jì)的特點(diǎn)。因此設(shè)計(jì)者可以不必了解硬件結(jié)構(gòu)。從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行系統(tǒng)方框圖的劃分和結(jié)構(gòu)設(shè)計(jì),在方框圖一級(jí)用vhdl對(duì)電路的行為進(jìn)行描述,并進(jìn)行仿真和糾錯(cuò),然后在系統(tǒng)一級(jí)進(jìn)行驗(yàn)證,最后再用邏輯綜合優(yōu)化工具生成具體的門級(jí)邏輯電路的網(wǎng)表,下載到具體的cpld器件中去,從而實(shí)現(xiàn)可編程的專用集成電路(asic)的設(shè)計(jì)。用vhdl 語言進(jìn)行數(shù)字邏輯電路和數(shù)字系統(tǒng)的設(shè)計(jì),是電子電路設(shè)計(jì)方法上的一次革命性變革。與傳統(tǒng)設(shè)計(jì)方法相比,vhdl 描述電路行為的算法有很多優(yōu)點(diǎn): (1) 設(shè)計(jì)層次較高、用于較復(fù)雜的計(jì)算時(shí),能盡早發(fā)現(xiàn)存在的問題,縮短設(shè)計(jì)周期;(2) 獨(dú)立實(shí)現(xiàn),修改方便,系統(tǒng)硬件描述能力強(qiáng);(3)

11、可讀性好,有利于交流,適合于文檔保存;(4) vhdl 語言標(biāo)準(zhǔn)、規(guī)范、移植性強(qiáng);(5) vhdl 類型眾多而且支持用戶自定義類型,支持自頂而下的設(shè)計(jì)方法和多種電路的設(shè)計(jì)。 第1章 方案論證1.1方案一我們用vhdl語言設(shè)計(jì)了一個(gè)十六路彩燈控制器,六種花型循環(huán)變化,有清零開關(guān),并且可以選擇快慢兩種節(jié)拍。工作原理:整個(gè)系統(tǒng)共有三個(gè)輸入信號(hào)cp,s和k,十六路輸出信號(hào)。時(shí)鐘信號(hào)cp由外部輸入到節(jié)拍發(fā)生器,節(jié)拍選擇信號(hào)s先輸入到控制器再由控制器輸出選擇控制信號(hào)y到節(jié)拍發(fā)生器,隨時(shí)控制快慢節(jié)拍的轉(zhuǎn)換。節(jié)拍發(fā)生器產(chǎn)生的節(jié)拍信號(hào)分別輸出到控制器、編碼電路和驅(qū)動(dòng)電路。編碼電路輸出反饋信號(hào)給控制器,控制器輸出

12、信號(hào)控制編碼電路的各個(gè)子模塊交替工作,產(chǎn)生六種花型,再由驅(qū)動(dòng)電路將信號(hào)輸出到彩燈。k為清零信號(hào),由外部輸?shù)娇刂破鱧 0時(shí),系統(tǒng)回到等待狀態(tài),彩燈全滅;k i時(shí)系統(tǒng)工作。 我們采取自頂向下的設(shè)計(jì)方法,將電路分為控制器和受控制器,各部分電路的作用如下:1受控電路包括節(jié)拍發(fā)生器 驅(qū)動(dòng)電路和編碼電路。節(jié)拍發(fā)生器:提供快、慢兩種節(jié)拍。驅(qū)動(dòng)電路:提供彩燈工作所需的電壓及電流,隔離負(fù)載對(duì)編碼電路的影響。編碼電路:根據(jù)花型要求按節(jié)拍輸出十六位狀態(tài)編碼信號(hào)以控制彩燈接規(guī)律亮滅。2控制器:為節(jié)拍發(fā)生器和編碼電路提供控制信號(hào),同步整個(gè)系統(tǒng)的工作控制器通過控制編碼電路中各個(gè)模塊的交替工作來實(shí)現(xiàn)各個(gè)花型的轉(zhuǎn)換。1.2方

13、案二同樣我們用vhdl語言設(shè)計(jì)了一個(gè)十六路彩燈控制器,六種花型循環(huán)變化,有清零開關(guān),并且可以選擇快慢兩種節(jié)拍。本控制電路采用vhdl語言設(shè)計(jì)。運(yùn)用自頂而下的設(shè)計(jì)思想,按功能逐層分割實(shí)現(xiàn)層次化設(shè)計(jì)。根據(jù)多路彩燈控制器的設(shè)計(jì)原理,將整個(gè)控制器分為兩個(gè)部分,分別為時(shí)序控制模塊和顯示控制模塊。時(shí)序控制模塊實(shí)現(xiàn)的功能是產(chǎn)生1和的時(shí)鐘信號(hào)。顯示控制模塊中實(shí)現(xiàn)的六種花型分別為:000100010001000110101010101010100011001100110011110110110110011010010100101001010100100100100100整個(gè)電路僅有時(shí)序控制和顯示控制兩個(gè)模塊。1

14、.3最佳方案選擇方案一總體由受控電路和控制器組成,而受控電路又包括節(jié)拍發(fā)生器,驅(qū)動(dòng)電路和編碼電路。它將花型控制與節(jié)拍控制兩種功能融合在一起,是考慮到只要計(jì)數(shù)器就可以實(shí)現(xiàn)其全部功能,且原理相對(duì)簡(jiǎn)單。如此設(shè)計(jì),其優(yōu)點(diǎn)在于:設(shè)計(jì)思想比較簡(jiǎn)單,元件種類使用少,充分利用了各種元件,且因熟悉,比較易于組裝電路;缺點(diǎn)則是:中間單元電路連線過多,容易出錯(cuò),且可能出現(xiàn)線與關(guān)系;分析電路時(shí)不易弄清楚,不易于電路的修改。方案二由時(shí)序控制電路和顯示控制電路組成,電路組成較方案一簡(jiǎn)單。且檢查電路時(shí)易于分析修改,雖然原理相對(duì)復(fù)雜,但單元電路模塊少,而且方案二程序編寫簡(jiǎn)單易懂,并能夠靈活地添加或刪減程序?qū)崿F(xiàn)多種花型的變化,

15、有很大的靈活性。因此,我們選擇了方案二進(jìn)行進(jìn)一步的設(shè)計(jì)。第2章 模塊設(shè)計(jì)及其功能本次設(shè)計(jì)分為兩個(gè)子模塊 ,即十六路彩燈時(shí)序控制模塊和十六路彩燈顯示控制模塊。2.1模塊功能描述時(shí)序控制模塊的功能是產(chǎn)生輸入脈沖的分頻脈沖信號(hào)和分頻脈沖信號(hào),以此控制十六路彩燈的快慢節(jié)奏變化。顯示控制模塊的功能是使電路產(chǎn)生六種花型并且循環(huán)顯示,以此實(shí)現(xiàn)本次課程設(shè)計(jì)要求實(shí)現(xiàn)的多路彩燈控制器的花型循環(huán)顯示功能。模塊的設(shè)計(jì)使得程序得以實(shí)現(xiàn),對(duì)于程序的理解和對(duì)模塊的設(shè)計(jì)緊密的聯(lián)系起來利用eda技術(shù)方便快捷的實(shí)現(xiàn)了設(shè)計(jì)。用vhdl進(jìn)行設(shè)計(jì),首先應(yīng)該理解,vhdl語言是一種全方位硬件描述語言,包括系統(tǒng)行為級(jí),寄存器傳輸級(jí)和邏輯門

16、級(jí)多個(gè)設(shè)計(jì)層次。應(yīng)充分利用vhdl“自頂向下”的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概念,層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡(jiǎn)單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。2.2時(shí)序控制模塊 時(shí)序控制模塊是本程序的 時(shí)鐘信號(hào)選擇模塊,它的功能是產(chǎn)生輸入脈沖的分頻脈沖信號(hào)和分頻脈沖信號(hào),以此控制十六路彩燈的快慢節(jié)奏變化。時(shí)序控制模塊在本電路中起著至關(guān)重要的作用,它以彩燈閃動(dòng)快慢節(jié)奏的變化實(shí)現(xiàn)了多路彩燈絢麗多彩的花型節(jié)奏變化。時(shí)序控制電路是整個(gè)電路中一個(gè)分模塊,它的設(shè)計(jì)對(duì)十六路彩燈控制器設(shè)計(jì)的順利完成起著決定性的作用。以下是時(shí)序控制電路編譯與仿真:1.時(shí)序控制模塊文本輸入截圖:有以下程序:

17、if chose_key=1thenif temp=011thentemp:=000;cllk=not cllk;elsetemp:=temp+1;end if;elseif temp=111thentemp:=000;cllk=not cllk;elsetemp:=temp+1;本段程序的作用是:當(dāng)chose_key=1時(shí)產(chǎn)生基準(zhǔn)時(shí)鐘頻率的14的時(shí)鐘信號(hào),否則產(chǎn)生基準(zhǔn)時(shí)鐘頻率的18的時(shí)鐘信號(hào)。本段簡(jiǎn)短的程序很輕松地實(shí)現(xiàn)了時(shí)鐘頻率的選擇與變換,要想改變彩燈節(jié)奏的快慢,只需利用chose_key選擇開關(guān)置1或置0即可輕松實(shí)現(xiàn)基準(zhǔn)時(shí)鐘頻率的14的時(shí)鐘信號(hào)和基準(zhǔn)時(shí)鐘頻率的18的時(shí)鐘信號(hào)的轉(zhuǎn)換,簡(jiǎn)單并

18、且容易修改,為十六路彩燈控制器的設(shè)計(jì)提供了更大的靈活性。2.時(shí)序控制模塊波形仿真截圖:2.3顯示控制模塊顯示控制模塊是整個(gè)電路的顯示控制模塊。它的主要功能是使電路產(chǎn)生六種花型并且循環(huán)顯示,以此實(shí)現(xiàn)本次課程設(shè)計(jì)要求實(shí)現(xiàn)的多路彩燈控制器的花型循環(huán)顯示功能。顯示控制模塊應(yīng)用vhdl語言設(shè)計(jì)了本次十六路彩燈的六種循環(huán)花型,顯示控制電路是整個(gè)電路中另外一個(gè)分模塊,它的出色設(shè)計(jì)直接決定著十六路彩燈控制器花型循環(huán)的花樣和質(zhì)量。以下是顯示控制電路編譯與仿真:1.時(shí)序控制模塊文本輸入截圖:有部分程序如下:process(clr,clk)isconstant f1:std_logic_vector(15 down

19、to 0):=0001000100010001;constant f2:std_logic_vector(15 downto 0):=1010101010101010;constant f3:std_logic_vector(15 downto 0):=0011001100110011;constant f4:std_logic_vector(15 downto 0):=0100100100100100;constant f5:std_logic_vector(15 downto 0):=1001010010100101;constant f6:std_logic_vector(15 down

20、to 0):=1101101101100110;本段程序的作用是定義六種花型,此六種花型可根據(jù)個(gè)人的喜好靈活進(jìn)行調(diào)節(jié)和改變,這在很大程度上增加了程序本身的實(shí)用性,又由于是軟件程序設(shè)計(jì),下載到硬件上后led燈的排列和擺設(shè)更不受程序的影響,可隨意插放。以下程序:if clr=1 thencurrent_stateflower=zzzzzzzzzzzzzzzz;current_stateflower=f1; current_stateflower=f2; current_stateflower=f3;current_stateflower=f4;current_stateflower=f5;curr

21、ent_stateflower=f6;current_state=s1;其作用是:如果clr=1,則模塊正常進(jìn)行工作,并且以下六種花型000100010001000110101010101010100011001100110011110110110110011010010100101001010100100100100100實(shí)現(xiàn)順序循環(huán)顯示。如果想改變六種花型的循環(huán)順序,只需修改部分狀態(tài)即可,本程序有很大的靈活性。2.時(shí)序控制模塊波形仿真截圖:第3章十六路彩燈控制系統(tǒng)的實(shí)現(xiàn)3.1整體功能描述1,在時(shí)序控制電路sxkz的設(shè)計(jì)中,利用計(jì)數(shù)器計(jì)數(shù)達(dá)到分頻值時(shí),對(duì)計(jì)數(shù)器進(jìn)行清零,同時(shí)將輸出信號(hào)反向,這

22、就非常簡(jiǎn)潔地實(shí)現(xiàn)了對(duì)輸入基準(zhǔn)信號(hào)的分頻,并且分頻信號(hào)的占空比為0.5。2,在顯示控制電路xskz的設(shè)計(jì)中,利用狀態(tài)機(jī)非常簡(jiǎn)潔地實(shí)現(xiàn)了六種花型的循環(huán)變換,同時(shí)利用六個(gè)十六位常數(shù)的設(shè)計(jì),可非常方便地設(shè)置和修改六種花型。3,對(duì)于頂層程序的設(shè)計(jì),若為模塊較多的系統(tǒng),最好使用文本的程序設(shè)計(jì)方式。但因本系統(tǒng)模塊較少,既可使用文本的程序設(shè)計(jì)方式,也可以使用原理圖的設(shè)計(jì)方式。彩燈顯示控制電路是整個(gè)設(shè)計(jì)的核心 , 彩燈顯示控制模塊能進(jìn)行彩燈的圖案控制 ,它負(fù)責(zé)整個(gè)設(shè)計(jì)的輸出效果即各種彩燈圖案的樣式變化。在電路中以 1 代表燈亮,以 0 代表燈滅,由 0,1按不同的規(guī)律組合代表不同的燈光圖案,同時(shí)使其選擇不同的頻

23、率,從而實(shí)現(xiàn)多種圖案多種頻率的花樣功能顯示。該程序充分地說明了用 vhdl設(shè)計(jì)電路的簡(jiǎn)單易修改,即可通過適當(dāng)?shù)馗淖兂绦蛑休敵鲎兞縼砀淖儾薀舻幕ㄐ汀r(shí)序控制模塊對(duì)燈閃的速度控制有兩種速度:一是分頻時(shí)鐘脈沖 ,二是分頻時(shí)鐘脈沖。并且還可以通過改變的時(shí)鐘輸入信號(hào)來產(chǎn)生更多的頻率。最后 ,當(dāng)各個(gè)模塊均完成上述操作之后 ,即可利用maxplus2的原理圖輸入 ,調(diào)用各個(gè)元器件(底層文件) ,以原理圖的形式形成最后的十六路彩燈顯示系統(tǒng)(頂層文件) ,并且進(jìn)行仿真。仿真通過 ,即可下載到指定的 cpld芯片里面 ,并進(jìn)行實(shí)際連線 ,進(jìn)行最后的硬件測(cè)試。當(dāng)然 ,可以將各個(gè)模塊所生成的元件符號(hào)存放在元件庫(kù)中 ,

24、用以被其它人或其它的設(shè)計(jì)所重復(fù)調(diào)用 ,以簡(jiǎn)化后面的設(shè)計(jì)。3.2設(shè)計(jì)原理用vhdl進(jìn)行設(shè)計(jì) ,首先應(yīng)該了解 ,vhdl語言一種全方位硬件描述語言 ,包括系統(tǒng)行為級(jí) ,寄存?zhèn)鬏敿?jí)和邏輯門級(jí)多個(gè)設(shè)計(jì)層次。應(yīng)充分利用“自頂向下” 的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用它使得人們可以從簡(jiǎn)單的單元入手 ,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng) 。根據(jù)系統(tǒng)設(shè)計(jì)要求可知,整個(gè)系統(tǒng)共有三個(gè)輸入信號(hào):控制彩燈節(jié)奏快慢的基準(zhǔn)時(shí)鐘信號(hào)clk_in ,系統(tǒng)清零信號(hào)clr ,彩燈節(jié)奏快慢選擇開關(guān)chose_key;共有十六個(gè)輸出信號(hào)led15.0,分別用于控制十六路彩燈。據(jù)此,我們可以將整個(gè)彩燈控制電路c

25、dkzq分為兩大部分:時(shí)序控制電路sxkz和顯示控制電路xskz。系統(tǒng)的工作原理如下:時(shí)序控制電路sxkz根據(jù)輸入信號(hào)chose_key, clr ,clk_in產(chǎn)生的符合一定要求的、供顯示控制電路xskz使用的控制時(shí)鐘信號(hào),而顯示控制電路xskz則根據(jù)時(shí)序控制電路sxkz輸入的控制時(shí)鐘信號(hào),輸出六種花型循環(huán)變化的、控制十六路彩燈工作的控制信號(hào),這些控制信號(hào)加上驅(qū)動(dòng)電路一起控制彩燈工作。首先應(yīng)進(jìn)行系統(tǒng)模塊的劃分 ,規(guī)定每一模塊的功能以及各個(gè)模塊之間的接口。最終設(shè)計(jì)方案由一個(gè)十六路彩燈花樣循環(huán)顯示控制器和一個(gè)時(shí)序控制分模塊組成。時(shí)序控制模塊根據(jù)輸入信號(hào)不同頻率的選擇不同的時(shí)鐘信號(hào)輸送到彩燈循環(huán)顯

26、示控制器 ,從而達(dá)到控制彩燈閃爍速度的快慢 ,整個(gè)十六路彩燈控制系統(tǒng)設(shè)計(jì)的模塊圖如圖所示??傮w程序如下:library ieee;use ieee.std_logic_1164.all;entity cdkzq isport(clk_in:in std_logic;clr:in std_logic;chose_key:in std_logic;led:out std_logic_vector(15 downto 0);end entity cdkzq;architecture art of cdkzq iscomponent sxkz isport(chose_key:in std_logic

27、;clk_in:std_logic;clr:in std_logic;clk:out std_logic);end component sxkz;component xskz isport(clk:in std_logic;clr:in std_logic;led:out std_logic_vector(15 downto 0);end component xskz;signal s1:std_logic;beginu1:sxkz port map(chose_key,clk_in,clr,s1);u2:xskz port map(s1,clr,led);3.3程序編譯與仿真程序輸入截圖:對(duì)

28、于頂層程序的設(shè)計(jì),若為模塊較多的系統(tǒng),最好使用文本的程序設(shè)計(jì)方式。但因本系統(tǒng)模塊較少,既可使用文本的程序設(shè)計(jì)方式,也可以使用原理圖的設(shè)計(jì)方式。以上為文本程序設(shè)計(jì)的截圖。本段程序的作用是將時(shí)序控制電路模塊和顯示控制電路模塊結(jié)合起來,實(shí)現(xiàn)十六路彩燈控制器的設(shè)計(jì),又由于本次程序設(shè)計(jì)的分模塊較少,因此,我們采用了文本設(shè)計(jì)和原理圖設(shè)計(jì)兩種方式。程序波形仿真截圖:各模塊vhdl程序經(jīng)過編譯優(yōu)化后,選擇合適的目標(biāo)芯片進(jìn)行綜合、管腳配置。本電路選用可編程邏輯芯片epm7064li84-15由max+plus ii進(jìn)行仿真,從仿真波形可以看出,此程序可以實(shí)現(xiàn)六種不同花樣彩燈的相互變換,每種花樣彩燈可以循環(huán)變化。

29、但是如果系統(tǒng)的固有頻率很大,彩燈的閃爍速度非??欤吹降默F(xiàn)象是每個(gè)花樣的十六個(gè)彩燈同時(shí)被點(diǎn)亮,為了實(shí)現(xiàn)絢麗多彩的景象,必須要在程序中加一個(gè)分頻進(jìn)程。與其它硬件設(shè)計(jì)方法相比,用vhdl進(jìn)行工程設(shè)計(jì)的優(yōu)點(diǎn)是多方面的:具有很強(qiáng)的行為描述能力,支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用,可讀性好,易于修改和發(fā)現(xiàn)錯(cuò)誤,可以使用仿真器對(duì)vhdl源代碼進(jìn)行仿真允許設(shè)計(jì)者不依賴于器件,容易發(fā)現(xiàn)設(shè)計(jì)中出現(xiàn)的問題,以便及時(shí)處理。實(shí)現(xiàn)了設(shè)計(jì)與工藝無關(guān),可移植性好,上市時(shí)間快,成本低,asic移植等優(yōu)點(diǎn)。34 程序下載 管腳設(shè)定截圖:若以上的仿真正確無誤,則可以將設(shè)計(jì)編程下載到選定的目標(biāo)器件中做進(jìn)一步的硬件測(cè)試,以便最終

30、了解設(shè)計(jì)的正確性。3.5 硬件測(cè)試本電路選用可編程邏輯芯片epm7064li84-15由max+plus ii進(jìn)行下載,從硬件測(cè)試結(jié)果可以看出,此程序可以實(shí)現(xiàn)六種不同花樣彩燈的相互變換,每種花樣彩燈可以循環(huán)變化。但是如果系統(tǒng)的固有頻率很大,彩燈的閃爍速度非常快,看到的現(xiàn)象是每個(gè)花樣的十六個(gè)彩燈同時(shí)被點(diǎn)亮,為了實(shí)現(xiàn)絢麗多彩的景象,必須要在程序中加一個(gè)分頻進(jìn)程。硬件測(cè)試實(shí)物圖如下:3.6 本章小結(jié)下載與測(cè)試是本次課設(shè)最后也是最重要的因?yàn)榍懊娉绦虻恼_為下載和測(cè)試做好了準(zhǔn)備并使得可以順利的進(jìn)行達(dá)到課設(shè)的預(yù)期效果和目的。在電路組裝過程中,遇到的最大問題是:起初考慮不周全,管腳分布不夠合理,出現(xiàn)了許多“

31、特長(zhǎng)線”, 不但影響布線速度,而且也會(huì)給后來的調(diào)試帶來不必要的麻煩。其次就是布線,因?yàn)橐蟛粶?zhǔn)交叉,且橫平豎直,所以在保證連通的情況下,在布線上也下了不少工夫。布線和調(diào)試完成后,又遇到新的問題:彩燈演示時(shí)有時(shí)正常有時(shí)混亂。在排除其他可能的情況下,我們仔細(xì)檢查了各端口的連接狀況,發(fā)現(xiàn)清零端口在清零后懸空了。將其接至高電平后,問題最終得以解決。第4章 結(jié) 論千萬不要?jiǎng)h除行尾的分節(jié)符,此行不會(huì)被打印。“結(jié)論”以前的所有正文內(nèi)容都要編寫在此行之前。從硬件測(cè)試結(jié)果可以看出,此程序可以實(shí)現(xiàn)六種不同花樣彩燈的相互變換,每種花樣彩燈可以循環(huán)變化。但是如果系統(tǒng)的固有頻率很大,彩燈的閃爍速度非???,看到的現(xiàn)象是每

32、個(gè)花樣的十六個(gè)彩燈同時(shí)被點(diǎn)亮。時(shí)序控制模塊實(shí)現(xiàn)的功能是產(chǎn)生1和的時(shí)鐘信號(hào)。顯示控制模塊中實(shí)現(xiàn)的六種花型分別為:000100010001000110101010101010100011001100110011110110110110011010010100101001010100100100100100這六種花型依次呈現(xiàn),花型變化快慢由控制。并且只要不撥動(dòng)清零開關(guān),這六種花型將一直循環(huán)顯示下去。 第5章 總 結(jié)課程設(shè)計(jì)剛開始,拿著選定的題目不知如何入手。畢竟課程設(shè)計(jì)不同于實(shí)驗(yàn)課,電路圖和程序都要自己設(shè)計(jì)。靜下心來,仔細(xì)分析題目,再加上指導(dǎo)老師的說明與提示和同組成員的幫助,心中才有了譜。將整個(gè)系統(tǒng)

33、根據(jù)不同的功能化分成模塊,再分別進(jìn)行設(shè)計(jì),逐個(gè)攻破,最后再將其整合即可。用vhdl進(jìn)行設(shè)計(jì),首先應(yīng)該理解,vhdl語言是一種全方位硬件描述語言,包括系統(tǒng)行為級(jí),寄存器傳輸級(jí)和邏輯門級(jí)多個(gè)設(shè)計(jì)層次。應(yīng)充分利用vhdl“自頂向下”的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概念,層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡(jiǎn)單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。通過使用eda編程既方便有快捷的實(shí)現(xiàn)了程序本次設(shè)計(jì)的程序已經(jīng)在硬件系統(tǒng)上得到了驗(yàn)證 ,實(shí)驗(yàn)表明 ,此設(shè)計(jì)方法能夠滿足多種不同花樣彩燈的變化要求 ,并且該方法便于擴(kuò)展不同變化模式的彩燈花樣。但是試驗(yàn)中也出現(xiàn)了一些不熟練的操作問題和一些復(fù)雜程序

34、的不能完全理解都需要我在平時(shí)多學(xué)習(xí),進(jìn)一步的完善自己。在實(shí)習(xí)中經(jīng)常會(huì)遇到一些自己可能暫時(shí)無法想明白的問題,請(qǐng)教同學(xué)或老師是很好的做法,節(jié)省時(shí)間也會(huì)從別人上上學(xué)到更多。在設(shè)計(jì)時(shí)和同學(xué)相互交流各自的想法也是很重要的,不同的人對(duì)問題的看法總有差異,我們可以從交流中獲得不同的思路,其他人的設(shè)計(jì)一定有比你出色的地方,很好的借鑒,并在大家的商討中選擇最優(yōu)方案最終一定會(huì)得到最好的設(shè)計(jì)方法。電子技術(shù)課程設(shè)計(jì)是配合電子技術(shù)基礎(chǔ)課程與實(shí)驗(yàn)教學(xué)的一個(gè)非常重要的教學(xué)環(huán)節(jié)。它不但能鞏固我們已所學(xué)的電子技術(shù)的理論知識(shí),而且能提高我們的電子電路的設(shè)計(jì)水平,還能加強(qiáng)我們綜合分析問題和解決問題的能力,進(jìn)一步培養(yǎng)我們的實(shí)驗(yàn)技能和

35、動(dòng)手能力,啟發(fā)我們的創(chuàng)新意識(shí)幾創(chuàng)新思維。整個(gè)課程設(shè)計(jì)過程我都認(rèn)真地完成了,對(duì)此,我總結(jié)了以下幾點(diǎn):第一,兩人一組,既加強(qiáng)了我們的動(dòng)手能力,又讓我們學(xué)會(huì)了團(tuán)結(jié)一致,共同合作才能研究出最好的方案。我們將理論聯(lián)系實(shí)際,在交流中取得進(jìn)步,從問題中提高自己。第二,本次課程設(shè)計(jì)加深了我對(duì)技術(shù)的進(jìn)一步深入理解。熟悉了程序編寫和原理圖輸入法的優(yōu)缺點(diǎn),為我以后更好地運(yùn)用max+plus ii奠定了良好的基礎(chǔ)。第三,通過這次課程設(shè)計(jì),使我受益頗多。了解到課程實(shí)習(xí)設(shè)計(jì)是開端,連接是關(guān)鍵,測(cè)試是必須。既鞏固了課堂上學(xué)到的理論知識(shí),又掌握了常用集成電路芯片的使用。在此基礎(chǔ)上學(xué)習(xí)了數(shù)字系統(tǒng)設(shè)計(jì)的基本思想和方法,學(xué)會(huì)了科學(xué)

36、地分析實(shí)際問題,通過查資料、分析資料及請(qǐng)教老師和同學(xué)等多種途徑,獨(dú)立解決問題。同時(shí),也培養(yǎng)了我認(rèn)真嚴(yán)謹(jǐn)?shù)膽B(tài)度。致 謝 本次課程設(shè)計(jì)我付出了很多,三個(gè)星期雖然短暫,但每一模塊的設(shè)計(jì)中都有我辛勤的汗水,我從中學(xué)到了很多東西。很感謝三周以來指導(dǎo)和教育我的老師,也非常感謝我們組的成員xx。感謝xx老師不厭其煩的指導(dǎo),是老師耐心的教導(dǎo)才換回了我們這次課程設(shè)計(jì)的成功。老師的精心指導(dǎo)和嚴(yán)謹(jǐn)求實(shí)的態(tài)度,深深地鼓勵(lì)著我。感謝老師對(duì)我們的教誨,老師一絲不茍的教學(xué)態(tài)度,將成為我生命中最寶貴的財(cái)富之一。最后,感謝我們組成員xx,感謝他對(duì)我的幫助和支持;感謝其他組成員為我提出的問題進(jìn)行詳細(xì)的解答。有了大家的幫助我才做出

37、此次的課程設(shè)計(jì)。在此向我的導(dǎo)師以及在課程設(shè)計(jì)過程中給予我很大幫助的老師、同學(xué)們致以最誠(chéng)摯的謝意。參考文獻(xiàn) 1 2 3 4附錄管腳設(shè)定和元器件清單一、管腳設(shè)定chose_key54 clr55 clkin83 led010 led111 led212led3 31 led415 led516 led617led718 led820led921 led1022 led1130 led1224 led1325 led1427led1528二、元器件清單面包板一個(gè) 剪刀一個(gè) 鑷子一個(gè) 鉗子一個(gè) 導(dǎo)線若干 led發(fā)光二極管16個(gè)三、部分源程序:1.時(shí)序控制電路程序如下:library ieee;use

38、ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sxkz isport(chose_key:in std_logic;clk_in:in std_logic;clr:in std_logic;clk:out std_logic);end entity sxkz;architecture art of sxkz issignal cllk:std_logic;beginprocess(clk_in,clr,chose_key)isvariable temp:std_logic_vector(2 downto 0);beginif clr=1then 當(dāng)clr=1時(shí)清零,否則正常工作cllk=0;temp:=000;elsif risin

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論