AVR128驅(qū)動(dòng)2.4寸TFT(主控芯片ILI9325)_第1頁
AVR128驅(qū)動(dòng)2.4寸TFT(主控芯片ILI9325)_第2頁
AVR128驅(qū)動(dòng)2.4寸TFT(主控芯片ILI9325)_第3頁
AVR128驅(qū)動(dòng)2.4寸TFT(主控芯片ILI9325)_第4頁
AVR128驅(qū)動(dòng)2.4寸TFT(主控芯片ILI9325)_第5頁
已閱讀5頁,還剩5頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、avr128驅(qū)動(dòng)2.4寸tft(主控芯片ili9325)單片機(jī) 2010-08-29 03:46:53 閱讀255 評(píng)論0 字號(hào):大中小訂閱 1、原理圖:avr128與tft最小系統(tǒng)原理圖。 2、程序/*/*lcd.c*/#include lcd.hint main(void)initio();/*io口初始化*/ili9325_initial();/*lcd初始化*/clearscreen(0xffff);/*清屏*/lcd_setpos(0,0,239,319);while(1)pant(0xf800,0,240,0,40); /紅色pant(0x07e0,0,240,40,80); /綠

2、色pant(0x001f,0,240,80,120); /藍(lán)色pant(0xf800,0,240,120,160); /紅色pant(0x07e0,0,240,160,200); /綠色pant(0x001f,0,240,200,240); /藍(lán)色pant(0xf800,0,240,240,280); /紅色pant(0x07e0,0,240,280,320); /綠色delayms(1000);delayms(1000);clearscreen(0xffff);pant(0xf800,0,240,0,320); /紅色delayms(1000);delayms(1000);clearscre

3、en(0xffff);pant(0x07e0,0,240,0,320); /綠色delayms(1000);delayms(1000);clearscreen(0xffff);pant(0x001f,0,240,0,320); /藍(lán)色delayms(1000);delayms(1000);clearscreen(0xffff); return 1;/*/*lcd.h*/*包含文件*/#include #include#include/*類型定義*/typedef unsigned char uint8;typedef unsigned int uint16;typedef unsigned l

4、ong uint32;#define window_xaddr_start0x0050 / horizontal start address set#define window_xaddr_end0x0051 / horizontal end address set#define window_yaddr_start0x0052 / vertical start address set#define window_yaddr_end0x0053 / vertical end address set#define gram_xaddr 0x0020 / gram horizontal addre

5、ss set#define gram_yaddr 0x0021 / gram vertical address set#define gramwr 0x0022 / memory write#define _nop_() asm(nop)#define lcd_rs_ddr ddrg /數(shù)據(jù)/命令切換#define lcd_rs_port portg#define lcd_rs_bit 2#define lcd_cs_ddr ddrg/片選#define lcd_cs_port portg#define lcd_cs_bit3#define lcd_wr_ddrddrg/寫控制#define

6、lcd_wr_port portg#define lcd_wr_bit0#define lcd_rd_ddrddrg/讀控制#define lcd_rd_portportg#define lcd_rd_bit1#define lcd_rst_ddr ddrg/復(fù)位 #define lcd_rst_port portg#define lcd_rst_bit 4#define lcd_cs_h lcd_cs_port |= 1lcd_cs_bit#define lcd_cs_l lcd_cs_port &= (1lcd_cs_bit) /sce#define lcd_rs_h lcd_rs_por

7、t |= 1lcd_rs_bit#define lcd_rs_l lcd_rs_port &= (1lcd_rs_bit) /a0#define lcd_rst_h lcd_rst_port |= 1lcd_rst_bit#define lcd_rst_l lcd_rst_port &= (1lcd_rst_bit) /rst#define lcd_wr_h lcd_wr_port |= 1lcd_wr_bit#define lcd_wr_l lcd_wr_port &= (1lcd_wr_bit)#define lcd_rd_h lcd_rd_port |= 1lcd_rd_bit#defi

8、ne lcd_rd_l lcd_rd_port &= (1lcd_rd_bit)#define comctrl portg#define comctrlddr ddrg#define datah portc#define datal porta#define dataddrh ddrc#define dataddrl ddra /*作 者:jundg函數(shù)名:void initio(void)函數(shù)功能:io口初始化函數(shù)參數(shù):無返回值:無*/void initio(void)comctrlddr=0x1f;dataddrh=0xff;dataddrl=0xff;datah=0xff;datal=0

9、xff;comctrl=0x1f;/*作 者:jundg函數(shù)名:void delayms(unsigned int count)函數(shù)功能:延時(shí)函數(shù)函數(shù)參數(shù):時(shí)間值返回值:無*/void delayms(unsigned int count) int i,j; for(i=0;icount;i+) for(j=0;j8;n=y;write_cmd(0x00,x);write_data(m,n);/*作 者:jundg函數(shù)名:static void lcd_setpos(unsigned int x0,unsigned int x1,unsigned int y0,unsigned int y1)

10、函數(shù)功能:設(shè)置顯示區(qū)域函數(shù)參數(shù):x0行起始點(diǎn),x1行結(jié)束點(diǎn),y0列起始點(diǎn),y1列結(jié)束點(diǎn)返回值:無*/static void lcd_setpos(unsigned int x0,unsigned int x1,unsigned int y0,unsigned int y1) write_cmd_data(window_xaddr_start,x0); write_cmd_data(window_xaddr_end,x1); write_cmd_data(window_yaddr_start,y0); write_cmd_data(window_yaddr_end,y1); write_cmd_

11、data(gram_xaddr,x0); write_cmd_data(gram_yaddr,y0); write_cmd (0x00,0x22);/lcd_writecmd(gramwr);/*作 者:jundg函數(shù)名:void pant(int dcolor,unsigned int x0,unsigned int x1,unsigned int y0,unsigned int y1)函數(shù)功能:設(shè)置顯示區(qū)域并顯示顏色函數(shù)參數(shù):dcolor顏色值,x0行起始點(diǎn),x1行結(jié)束點(diǎn),y0列起始點(diǎn),y1列結(jié)束點(diǎn)返回值:無*/void pant(int dcolor,unsigned int x0,un

12、signed int x1,unsigned int y0,unsigned int y1)int i,j;lcd_setpos(x0,x1,y0,y1); for(i=0;i320;i+) for (j=0;j8,dcolor); /*作 者:jundg函數(shù)名:void clearscreen(unsigned int bcolor)函數(shù)功能:清屏函數(shù)參數(shù):bcolor=0xffff清屏返回值:無*/void clearscreen(unsigned int bcolor)unsigned int i,j;lcd_setpos(0,240,0,320);/320x240for (i=0;i3

13、22;i+) for (j=0;j8,bcolor);/*作 者:jundg函數(shù)名:void ili9325_initial(void)函數(shù)功能:lcd初始化函數(shù)參數(shù):無返回值:無*/void ili9325_initial(void) lcd_cs_h;delayms(5);lcd_rst_l;delayms(5);lcd_rst_h;delayms(5);delayms(50);write_cmd_data(0x0001,0x0100); write_cmd_data(0x0002,0x0700); write_cmd_data(0x0003,0x1030); write_cmd_data

14、(0x0004,0x0000); write_cmd_data(0x0008,0x0207); write_cmd_data(0x0009,0x0000);write_cmd_data(0x000a,0x0000); write_cmd_data(0x000c,0x0000); write_cmd_data(0x000d,0x0000);write_cmd_data(0x000f,0x0000);/power on sequence vghvglwrite_cmd_data(0x0010,0x0000); write_cmd_data(0x0011,0x0007); write_cmd_dat

15、a(0x0012,0x0000); write_cmd_data(0x0013,0x0000); /vgh write_cmd_data(0x0010,0x1290); write_cmd_data(0x0011,0x0227);/delayms(100);/vregiout write_cmd_data(0x0012,0x001d); /0x001b/delayms(100); /vom amplitudewrite_cmd_data(0x0013,0x1500);/delayms(100); /vom hwrite_cmd_data(0x0029,0x0018); write_cmd_da

16、ta(0x002b,0x000d); /gammawrite_cmd_data(0x0030,0x0004);write_cmd_data(0x0031,0x0307);write_cmd_data(0x0032,0x0002);/ 0006write_cmd_data(0x0035,0x0206);write_cmd_data(0x0036,0x0408);write_cmd_data(0x0037,0x0507); write_cmd_data(0x0038,0x0204);/0200write_cmd_data(0x0039,0x0707); write_cmd_data(0x003c,

17、0x0405);/ 0504write_cmd_data(0x003d,0x0f02); /ramwrite_cmd_data(0x0050,0x0000); write_cmd_data(0x0051,0x00ef);write_cmd_data(0x0052,0x0000); write_cmd_data(0x0053,0x013f); write_cmd_data(0x0060,0xa700); write_cmd_data(0x0061,0x0001); write_cmd_data(0x006a,0x0000); /write_cmd_data(0x0080,0x0000); write_cmd_data(0x0081,0x0000); write_cmd_data(0x0082,0x0000); write_cmd_data(0x0083,0x0000); write_cmd_data(0x0084,0x0000)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論