數(shù)字四人搶答器畢業(yè)論文_第1頁(yè)
數(shù)字四人搶答器畢業(yè)論文_第2頁(yè)
數(shù)字四人搶答器畢業(yè)論文_第3頁(yè)
數(shù)字四人搶答器畢業(yè)論文_第4頁(yè)
數(shù)字四人搶答器畢業(yè)論文_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、陜西教育學(xué)院陜西教育學(xué)院 課題:數(shù)字四人搶答器課題:數(shù)字四人搶答器 班級(jí)班級(jí):信息信息 1031 姓名:高梅娟姓名:高梅娟 專業(yè):信息工程專業(yè):信息工程 指導(dǎo)教師:艾指導(dǎo)教師:艾. 時(shí)間:時(shí)間:2012-9-20 【摘要摘要】搶答器很廣泛的用于電視臺(tái)、商業(yè)機(jī)構(gòu)及學(xué)校,為競(jìng)賽增添了刺激性、 娛樂(lè)性,在一定程上豐富了人們的業(yè)余生活。本文介紹一種數(shù)字式搶答器,能 使四個(gè)隊(duì)同時(shí)參加搶答,賽場(chǎng)中設(shè)有 1 個(gè)裁判臺(tái),4 個(gè)參賽臺(tái),分別為 a 號(hào)、 b 號(hào)、c 號(hào)、d 號(hào)參賽臺(tái).搶答操作方便,在很多的場(chǎng)所都可以使用,并且給人 的視覺(jué)效果非常好。 數(shù)字搶答器由搶答電路,定時(shí)電路,報(bào)警電路,時(shí)序電路組成。優(yōu)先編

2、碼電 路,鎖存器,譯碼電路將參賽選手的輸入信號(hào)在顯示器上輸,通過(guò)定時(shí)電路和譯 碼電路將秒脈沖產(chǎn)生的信號(hào)在顯示器上輸出實(shí)現(xiàn)計(jì)時(shí)功能,用控制電路和報(bào)警 電路實(shí)現(xiàn)報(bào)警功能。以上幾部分組成主體電路,從而構(gòu)成數(shù)字搶答器。 【關(guān)鍵字關(guān)鍵字】搶答電路 定時(shí)電路 報(bào)警電路 【abstract】answer a wide range of devices for television stations, businesses and schools, for the contest added a provocative, entertaining, to some extent, the rich peopl

3、es spare time. this paper presents a digital answer, and can participate answer four teams at the same time, in court with a magistrate of taiwan, taiwans four entries, namely, a, b number, c no., d no. entries taiwan. answer operation convenient, in many places are available, and it gives very good

4、 visual effects. answer by answer browser digital circuits, timing circuits, alarm circuits, timing circuit. priority encoder circuit, latch, decoder circuit will be participants in the display of the input signal is lost, through the timing circuit and decoding circuit to generate pulse signals in

5、the display output timing function, with control circuitry and alarm circuit alarm function. above the main circuit composed of several parts, which pose a number of answer devices. 【keyword】answer circuits timing circuits alarm circuits 目 錄 引引 言言.1 第一章第一章 概述概述 .2 第二章第二章 搶答器設(shè)計(jì)原理?yè)尨鹌髟O(shè)計(jì)原理 .3 第一節(jié) 方案論證 .

6、3 第二節(jié) 搶答器的設(shè)計(jì)任務(wù)與要求 .4 第三節(jié) 搶答器的組成 .4 第四節(jié) 搶答器的設(shè)計(jì)原理分析 .5 一、數(shù)字搶答器設(shè)計(jì)整體電路圖 .5 二、數(shù)字搶答器設(shè)計(jì)原理 .7 第三章第三章 單元設(shè)計(jì)電路單元設(shè)計(jì)電路 .8 第一節(jié) 搶答器電路 .8 第二節(jié) 計(jì)時(shí)電路 .10 第三節(jié) 報(bào)警電路 .11 一、電路實(shí)現(xiàn)功能 .12 二、原理分析 .12 結(jié)結(jié) 語(yǔ)語(yǔ) .13 參考文獻(xiàn)參考文獻(xiàn) .14 致致 謝謝 .15 引 言 當(dāng)今的社會(huì)競(jìng)爭(zhēng)日益激烈,選拔人才,評(píng)選優(yōu)勝,知識(shí)競(jìng)賽之類的活動(dòng)愈 加頻繁,智力競(jìng)賽是一種生動(dòng)活潑的教育方式,通過(guò)搶答和必答兩種答題方式 能引起參賽者和觀眾的極大興趣,并且能在極短的時(shí)

7、間內(nèi),使人們迅速增加一 些科學(xué)知識(shí)和生活常識(shí)。那么也就必然離不開(kāi)搶答器,而現(xiàn)在的搶答器朝著數(shù) 字化,智能化的方向發(fā)展,這就必然提高了搶答器的成本。鑒于現(xiàn)在小規(guī)模的 知識(shí)競(jìng)賽越來(lái)越多,操作簡(jiǎn)單,經(jīng)濟(jì)實(shí)用的小型搶答器必將大有市場(chǎng)本文設(shè)計(jì) 的搶答器,電路簡(jiǎn)單,成本較低,操作方便,靈敏可靠,具有較高的推廣價(jià)值。 而在競(jìng)賽中往往分為幾組參加,這時(shí)針對(duì)主持人提出的問(wèn)題,各組一般要 進(jìn)行必答和搶答,對(duì)必答一般有時(shí)間限制,到時(shí)有聲響提示;對(duì)于搶答,要判 定哪組先按鍵,為了公正,這就要有一種邏輯電路搶答器作為裁判員。搶答器 在競(jìng)賽中有很大用處,它能準(zhǔn)確、公正、直觀地判斷出第 1 搶答者。通過(guò)搶答器 的指示燈顯示

8、、數(shù)碼顯示和警示蜂鳴等手段指示出第 1 搶答者。 本文詳細(xì)介紹了搶答器的設(shè)計(jì)方案及功能。本文共分為三章,第一章是對(duì) 搶答器的概述;第二章介紹了搶答器的設(shè)計(jì)原理;第三章則是對(duì)各單元電路的 介紹。 第一章 概述 用戶單位舉辦知識(shí)競(jìng)賽的需求一般會(huì)通過(guò)小組必答題、個(gè)人必答題、搶答 題、風(fēng)險(xiǎn)題、限時(shí)快速答題、現(xiàn)場(chǎng)觀眾題、附加題等多種題型來(lái)舉辦知識(shí)競(jìng)賽, 其中搶答題是各企事業(yè)單位舉行知識(shí)競(jìng)賽的必備題型,也是最重要的題型,其 搶答過(guò)程也是競(jìng)賽的最重要過(guò)程。為了體現(xiàn)競(jìng)賽的公平公正,市場(chǎng)上出現(xiàn)了通 過(guò)儀器來(lái)輔助監(jiān)控、協(xié)助完成知識(shí)競(jìng)賽的搶答題環(huán)節(jié),后來(lái)人們就習(xí)慣稱這種 設(shè)備為搶答器。 它廣泛用于電視臺(tái)、商業(yè)機(jī)構(gòu)及

9、學(xué)校,為競(jìng)賽增添了刺激性、娛樂(lè)性,在 一定程度上豐富了人們的業(yè)余生活。但市面上所售搶答器價(jià)格一般較貴且多為 小規(guī)模集成電路構(gòu)成,其性能單一,工作起來(lái)不夠理想。因此,提高搶答器的性 能,使其具有更強(qiáng)的功能,使用可靠方便已為搶答器發(fā)展的一個(gè)方向。隨著集成 技術(shù)和計(jì)算機(jī)技術(shù)的發(fā)展,單片機(jī)作為其中一個(gè)分支亦于 20 世紀(jì) 80 年代以來(lái) 獲得了飛速發(fā)展,各種新品不斷涌現(xiàn),使單片機(jī)的應(yīng)用更加深入,靈活性也大 大增強(qiáng)。使得搶答器具有結(jié)構(gòu)簡(jiǎn)單、功能強(qiáng)大、可靠性好、實(shí)用性強(qiáng)的特點(diǎn)。 實(shí)現(xiàn)搶答器功能的方式有多種,傳統(tǒng)的搶答器一般采用早期的模擬電路、 數(shù)字電路或模數(shù)混合電路,功能單一。近年來(lái)隨著科技的飛速發(fā)展,單

10、片機(jī)、 cpld、plc 的應(yīng)用不斷地走向深入,同時(shí)帶動(dòng)傳統(tǒng)的控制檢測(cè)技術(shù)的不斷更 新。于是出現(xiàn)了電子搶答器和電腦搶答器之分。 電子搶答器是一個(gè)硬件設(shè)備,也就是采用早期的模擬電路、數(shù)字電路或模 數(shù)混合電路實(shí)現(xiàn)的。這種傳統(tǒng)的儀器設(shè)備,主要包括搶答器、搶答按扭、組別 顯示屏、計(jì)時(shí)顯示屏、記分控制儀、記分顯示屏等設(shè)備。其一般具有搶答、試 題計(jì)時(shí)(含必答題、見(jiàn)風(fēng)險(xiǎn)題等其他非搶答題型) 、各組各選手記分等功能。 功能實(shí)現(xiàn)比較單一,而且使用電子搶答器進(jìn)行搶答的違例判斷,還是靠主持人 進(jìn)行操作,有很大的人為因素。 電腦搶答器是是由搶答主機(jī)、搶答按鈕和一套知識(shí)競(jìng)賽軟件組成的且需要借助 電腦、投影機(jī)等多媒體設(shè)備

11、輔助完成的更先進(jìn)的知識(shí)競(jìng)賽成套設(shè)備。它的核心 是軟件程序,這個(gè)程序可以有無(wú)限的變化,實(shí)現(xiàn)用戶的各種競(jìng)賽要求,硬件設(shè) 備對(duì)它來(lái)說(shuō)只是一個(gè)附屬。其功能包括搶答功能、試題計(jì)時(shí)功能(包含必答題、 風(fēng)險(xiǎn)題等其他非搶答題型) 、各組各選手記分功能外,還增加如自動(dòng)出題系統(tǒng)、 自動(dòng)記分排名系統(tǒng)、現(xiàn)場(chǎng)企業(yè)宣傳、領(lǐng)導(dǎo)講話等多個(gè)環(huán)節(jié)。它有比較完善的知 識(shí)競(jìng)賽計(jì)分計(jì)時(shí)搶答系統(tǒng),運(yùn)用互動(dòng)式多媒體技術(shù),通過(guò)投影儀大屏幕或電視 在現(xiàn)場(chǎng)播放,給觀眾強(qiáng)烈的視聽(tīng)感受,凝聚競(jìng)賽現(xiàn)場(chǎng)氣氛??梢燥@示參賽隊(duì)、 顯示題目、顯示排行榜、顯示競(jìng)賽單位的各種圖文資料,尤其如果用戶有圖片 題和視頻音頻題。電腦搶答器提供了三種搶答方式判斷,利用電腦

12、控制,進(jìn)行 違例判別。杜絕了人為因素的干擾,消除了搶答爭(zhēng)議,充分體現(xiàn)了公正公平原 則。 運(yùn)用計(jì)算機(jī),實(shí)現(xiàn)知識(shí)競(jìng)賽多媒體演示,具有結(jié)構(gòu)簡(jiǎn)單、功能強(qiáng)大、可靠 性好、實(shí)用性強(qiáng)的特點(diǎn)是今后搶答器發(fā)展的一個(gè)大方向。 第二章 搶答器設(shè)計(jì)原理 第一節(jié) 方案論證 方案一: 本方案由主體電路與擴(kuò)展電路組成。優(yōu)先編碼電路、鎖存器、譯碼電路將 參賽隊(duì)的輸入信號(hào)在顯示器上輸出;用控制電路和報(bào)警電路實(shí)現(xiàn)報(bào)警功能,通 過(guò)定時(shí)電路和譯碼電路將秒脈沖產(chǎn)生的信號(hào)在顯示器上輸出實(shí)現(xiàn)計(jì)時(shí)功能,構(gòu) 成計(jì)時(shí)電路??梢詫?shí)現(xiàn)電路的優(yōu)先級(jí)別和自動(dòng)記時(shí)和報(bào)警功能。且電路簡(jiǎn)單成 本低,性能可靠,能方便地完成選手搶答的基本功能,稍加擴(kuò)展就能達(dá)到實(shí)

13、用 化。 方案二: 本方案由普通的編碼和譯碼電路組成,可以實(shí)現(xiàn)多路搶答時(shí).實(shí)現(xiàn)某一路的 是最先優(yōu)先級(jí)。采用高亮發(fā)光二極管來(lái)實(shí)現(xiàn)指示功能.不具備數(shù)碼顯示功能。 方案三: 該系統(tǒng)采用 mcs-51 系列單片機(jī) at89s51 作為控制核心,該系統(tǒng)可以完成 運(yùn)算控制、信號(hào)識(shí)別以及顯示功能的實(shí)現(xiàn)。 方案論證: 方案一有一下幾點(diǎn)優(yōu)勢(shì):1、具有清零裝置和搶答控制,可由主持人操縱避 免有人在主持人說(shuō)“開(kāi)始”前提前搶答違反規(guī)則。2、具有定時(shí)功能,在 60 秒 內(nèi)無(wú)人搶答表示所有參賽選手參賽隊(duì)對(duì)本題棄權(quán)。3、60 秒時(shí)仍無(wú)人搶答其報(bào) 警電路工作表示搶答時(shí)間耗盡禁止搶答。且中小規(guī)模集成電路應(yīng)用技術(shù)成熟, 性能可靠

14、,能方便地完成選手搶答的基本功能。 方案二不具備方案一上面所闡述的各種優(yōu)勢(shì).而且采用的是比較原始的電路 和實(shí)施方法,不能突出電子專業(yè)的特色。 方案三中由于用了單片機(jī),使其技術(shù)比較成熟,應(yīng)用起來(lái)方便、簡(jiǎn)單并且 單片機(jī)周圍的輔助電路也比較少,便于控制和實(shí)現(xiàn)。整個(gè)系統(tǒng)具有極其靈活的 可編程性,能方便地對(duì)系統(tǒng)進(jìn)行功能的擴(kuò)張和更改性。但是用單片機(jī)控制的搶 答器性能不穩(wěn)定。 綜合以上分析,故采用方案一來(lái)進(jìn)行電路設(shè)計(jì)。 第二節(jié) 搶答器的設(shè)計(jì)任務(wù)與要求 1搶答器同時(shí)供 4 名選手或 4 個(gè)代表隊(duì)比賽,分別用 4 個(gè)按鈕 a、b、c、d 表示。 2. 設(shè)置一個(gè)系統(tǒng)清除和搶答控制開(kāi)關(guān) s,該開(kāi)關(guān)由主持人控制。 3

15、. 搶答器具有鎖存與顯示功能。即當(dāng)選手按動(dòng)按鈕,鎖存相應(yīng)的編號(hào),并在 led 數(shù)碼管上顯示,同時(shí)揚(yáng)聲器發(fā)出報(bào)警聲響提示。選手搶答實(shí)行優(yōu)先鎖存, 優(yōu)先搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清除為止。 4. 搶答器具有定時(shí)搶答功能。當(dāng)主持人啟動(dòng)“開(kāi)始”鍵后,定時(shí)器進(jìn)行計(jì)時(shí). 5. 參賽選手在設(shè)定的時(shí)間內(nèi)進(jìn)行搶答,搶答有效,顯示器上顯示選手的編號(hào), 并保持到主持人將系統(tǒng)清除為止。 6. 如果定時(shí)時(shí)間已到,無(wú)人搶答,本次搶答無(wú)效,系統(tǒng)報(bào)警并禁止搶答,定時(shí) 搶答器上顯示 00。 第三節(jié) 搶答器的組成 搶答器主要由搶答開(kāi)關(guān)、優(yōu)先編碼電路、鎖存電路、搶答號(hào)碼顯示譯碼電 路、控制開(kāi)關(guān)、時(shí)序控制電路、警報(bào)電路、定

16、時(shí)電路、時(shí)間顯示譯碼電路組成。 其構(gòu)成框圖如圖(1): 搶答按鈕觸發(fā)器鎖存電路譯碼電路 主持人控 制開(kāi)關(guān) 控制電路報(bào)警電路 秒脈沖產(chǎn) 生電路 定時(shí)電路譯碼電路顯示電路 顯示電路 圖()搶答器組成框圖 (1)搶答開(kāi)關(guān)由四個(gè)開(kāi)關(guān)組成,四名參賽選手各控制一個(gè),撥動(dòng)開(kāi)關(guān) 使相應(yīng)的控制端的信號(hào)為高電平或低電平。 (2)優(yōu)先編碼電路立即分辨出搶答選手的編號(hào),并進(jìn)行編碼。 (3)鎖存電路鎖存搶答選手的編號(hào),并封鎖其他開(kāi)關(guān)的信號(hào),保證搶 答有效。 (4)搶答號(hào)碼顯示譯碼電路將搶答者的編號(hào)用數(shù)碼管給顯示出來(lái),以 便更直觀看到結(jié)果。 (5)控制開(kāi)關(guān)比賽開(kāi)始之前供主持人使用,它能保證比賽前觸發(fā)器統(tǒng) 一清零,避免電路

17、的誤操作和搶答過(guò)程的不公平。 (6)時(shí)序控制電路是搶答器的核心,當(dāng)有選手搶答時(shí),時(shí)序控制電路 將搶答信號(hào)傳遞給優(yōu)先編碼電路和鎖存電路。 (7)警報(bào)電路如果定時(shí)時(shí)間已到,無(wú)人搶答,本次搶答無(wú)效,系統(tǒng)報(bào) 警并禁止搶答。 (8)定時(shí)電路對(duì)搶答者回答問(wèn)題時(shí)間進(jìn)行控制的電路,規(guī)定 60 秒, 超過(guò) 60 秒則搶答無(wú)效。 (9)時(shí)間顯示譯碼電路將定時(shí)時(shí)間用數(shù)碼管給顯示出來(lái),如 60 秒則 顯示裝置是一個(gè)二位數(shù)字顯示的計(jì)數(shù)系統(tǒng)。 第四節(jié)第四節(jié) 搶答器的設(shè)計(jì)原理分析搶答器的設(shè)計(jì)原理分析 一、數(shù)字搶答器設(shè)計(jì)整體電路圖一、數(shù)字搶答器設(shè)計(jì)整體電路圖 圖()數(shù)字搶答器設(shè)計(jì)整體電路圖 二、數(shù)字搶答器設(shè)計(jì)原理二、數(shù)字搶答

18、器設(shè)計(jì)原理 由圖()原理圖可知其工作原理為:接通電源后,主持人宣布開(kāi)始同 時(shí)按下按鍵開(kāi)關(guān) s 搶答器工作。74ls175 的、輸出高電平,可1qq2q3q4 逆加減計(jì)數(shù)器 74ls192 的 clr 端輸入為低電平,因此計(jì)數(shù)器開(kāi)始從 00 做加法 計(jì)數(shù),記時(shí)器計(jì)時(shí)、指示燈不亮。選手在 60 秒內(nèi)搶答,當(dāng)有人搶答時(shí), 74ls192 的非輸出端為低電平,這個(gè)低電平與時(shí)鐘脈沖經(jīng)過(guò)與非門 u2a 形成一 個(gè)上升沿脈沖作為 74ls175 clk 的輸入脈沖。因?yàn)?74ls175 是下降沿觸發(fā)的, 當(dāng) clk 輸入為上升沿的脈沖時(shí)輸入被鎖定,故按下復(fù)位之外的任何的按鍵都將 不會(huì)發(fā)生電路狀態(tài)的變化,達(dá)到

19、了鎖定選手編號(hào)的功能目標(biāo),同時(shí)指示燈 x1 亮,指示燈亮禁止二次搶答。如果在 60 秒內(nèi)無(wú)人搶答,計(jì)數(shù)器 74ls192 的 ob、oc 端都為高電平,經(jīng)過(guò)與非門 u9a 輸出為低電平,這個(gè)低電平與時(shí)鐘脈 沖經(jīng)過(guò)與門 u11a 輸出為低電平使計(jì)時(shí)器停止工作,此次搶答無(wú)效,指示燈 x1 亮。 當(dāng)主持人再次按下 s 后 74ls175 clk 端輸入為低電平,由于 clr 是清零 端,且是低電平有效 ,實(shí)現(xiàn)清零功能;經(jīng)過(guò)一個(gè)非門 u8a,可逆加減計(jì)數(shù)器 74ls192 的 clr 端輸入高電平,由于 74ls192 的 clr 為清零端,且高電平有 效,使計(jì)數(shù)器 74ls192 處于清零狀態(tài),此時(shí)

20、數(shù)碼管的顯示為 0。 40 秒提示:計(jì)時(shí)器到 40 時(shí),發(fā)光指示燈會(huì)閃爍一下,揚(yáng)聲器會(huì)發(fā)出一次 聲音,這是因?yàn)樾盘?hào)延遲造成的:計(jì)時(shí)器到 40 前,計(jì)數(shù)器的十位顯示 3,此時(shí) 輸入與非門 u9a 的信號(hào)為 01,當(dāng)計(jì)數(shù)器到 40 時(shí),輸入與非門 u9a 的信號(hào)為 10,因?yàn)樾盘?hào)的延遲使輸入與非門 u9a 的信號(hào)為 11,與非門 u9a 的輸出信號(hào) 為低電平 0,這個(gè)低電平 0 與 u1a 輸出的低電平 0 經(jīng)過(guò)與非門 u6a,與非門 u6a 輸出就為高電平 1,從而使指示燈 x1 發(fā)光,揚(yáng)聲器發(fā)出聲音。 第三章 單元設(shè)計(jì)電路 第一節(jié) 搶答器電路 該電路完成兩個(gè)功能:一是分辨出各選手按鍵的先后,并

21、鎖存優(yōu)先搶答者 的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào);同時(shí)禁止其他選手搶答,搶答無(wú)效。其 電路圖如圖(3)。 圖()搶答器電路圖 其工作原理如下: 電路接通后,按下清零鍵 s 后 74ls175 clk 端輸入為低電平,由于 clr 是清零端,且是低電平有效 ,實(shí)現(xiàn)清零功能,數(shù)碼管上顯示為 0。 再次按下復(fù)位按鍵 s,、輸出高電平。電路進(jìn)入準(zhǔn)備狀1qq2q3q4 態(tài)。這時(shí),假設(shè)有按鍵 a 被按下,4d 的輸出 4q 將由低變成高電平,使 4q 輸 輸出為高電平經(jīng)過(guò)或門 u3a 驅(qū)動(dòng)數(shù)碼管使數(shù)碼管上顯示為 1(選手 a 的編號(hào)), 同時(shí)使(4q 非)輸出為低電平經(jīng)過(guò)與門 u4a 輸出為低電平,這個(gè)低

22、電平與時(shí)q4 鐘脈沖經(jīng)過(guò)與非門 u2a 形成一個(gè)上升沿脈沖作為 74ls175 clk 的輸入脈沖。 因?yàn)?74ls175 是下降沿觸發(fā)的,當(dāng) clk 輸入為上升沿的脈沖時(shí)輸入被鎖定, 故按下除了復(fù)位之外的任何的按鍵都將不會(huì)發(fā)生電路狀態(tài)的變化,達(dá)到了既定 的功能目標(biāo)。假設(shè)有按鍵 b 被按下,3d 的輸出 3q 將由低變成高電平,使 3q 輸輸出為高電平經(jīng)過(guò)或門 u1a 驅(qū)動(dòng)數(shù)碼管使數(shù)碼管上顯示為 2(選手 b 的編號(hào)) ,同時(shí)使(3q 非)輸出為低電平經(jīng)過(guò)與門 u4a 輸出為低電平,這個(gè)低電平與q3 時(shí)鐘脈沖經(jīng)過(guò)與非門 u2a 形成一個(gè)上升沿脈沖作為 74ls175 clk 的輸入脈沖。 達(dá)到

23、鎖存編號(hào) 2 的目的。假設(shè)有按鍵 c 被按下,2d 的輸出 2q 將由低變成高電 平,使 2q 輸輸出為高電平經(jīng)過(guò)或門 u1a 驅(qū)動(dòng)數(shù)碼管使數(shù)碼管上顯示 3(選手 c 的編號(hào)),同時(shí)使(2q 非)輸出為低電平經(jīng)過(guò)與門 u4a 輸出為低電平,這q2 個(gè)低電平與時(shí)鐘脈沖經(jīng)過(guò)與非門 u2a 形成一個(gè)上升沿脈沖作為 74ls175 clk 的輸入脈沖。達(dá)到鎖存編號(hào) 3 的目的。假設(shè)有按鍵 d 被按下,1d 的輸出 1q 將 由低變成高電平,使 1q 輸輸出為高電平驅(qū)動(dòng)數(shù)碼管使數(shù)碼管上顯示 4(選手 d 的編號(hào)),同時(shí)使(1q 非)輸出為低電平經(jīng)過(guò)與門 u4a 輸出為低電平,這個(gè)q1 低電平與時(shí)鐘脈沖經(jīng)

24、過(guò)與非門 u2a 形成一個(gè)上升沿脈沖作為 74ls175 clk 的 輸入脈沖。達(dá)到鎖存編號(hào) 4 的目的。 第二節(jié)第二節(jié) 計(jì)時(shí)電路計(jì)時(shí)電路 該電路完成兩個(gè)功能:一是進(jìn)行計(jì)時(shí),二是設(shè)定計(jì)時(shí)時(shí)間為 60 秒(脈沖 信號(hào)的頻率為 1hz)。 其電路圖如圖(4): 圖(4)計(jì)時(shí)電路圖 其工作原理如下: 當(dāng)主持人按下清零按鈕 s 時(shí),經(jīng)過(guò)一個(gè)非門 u8a,可逆加減計(jì)數(shù)器 74ls192 的 clr 端輸入高電平,由于 74ls192 的 clr 為清零端,且高電平有 效,使計(jì)數(shù)器 74ls192 處于清零狀態(tài),此時(shí)數(shù)碼管上顯示為 0。 當(dāng) load 置 1 時(shí),時(shí)鐘脈沖由 up 端接入,且 down 輸

25、入高電平時(shí) 74ls192 處于加法計(jì)數(shù)狀態(tài)。再次按下復(fù)位開(kāi)關(guān) s 是,經(jīng)過(guò)一個(gè)非門 u8a,可 逆加減計(jì)數(shù)器 74ls192 的 clr 端輸入為低電平,因此計(jì)數(shù)器開(kāi)始從 00 做加法 計(jì)數(shù),頻率為 1 秒。co 是進(jìn)位端與另一個(gè) 74ls192 的時(shí)鐘脈沖 up 端相連,當(dāng) 計(jì)數(shù)到十時(shí)給另一個(gè) 74ls192 的時(shí)鐘脈沖 up 端一個(gè)進(jìn)位脈沖,進(jìn)行十位上的 計(jì)數(shù)。當(dāng)十位上的計(jì)數(shù)達(dá)到 6 即輸出端為 0110 時(shí)(規(guī)定時(shí)間內(nèi)無(wú)人搶答), ob、oc 端都為高電平,經(jīng)過(guò)與非門 u9a 輸出為低電平,這個(gè)低電平與時(shí)鐘脈 沖經(jīng)過(guò)與門 u11a 輸出為低電平使計(jì)時(shí)器停止工作,此次搶答無(wú)效。當(dāng)有人搶

26、答時(shí) 第三節(jié)第三節(jié) 報(bào)警電路報(bào)警電路 由 555 定時(shí)器和三極管構(gòu)成的報(bào)警電路如圖 4 所示。其中 555 構(gòu)成多諧振 蕩器,振蕩頻率 fo143(ri2r2)c,其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng) 聲器。pr 為控制信號(hào),當(dāng) pr 為高電平時(shí),多諧振蕩器工作,反之,電路停振。 報(bào)警電路圖如圖(): 圖(5)報(bào)警電路圖 一、電路實(shí)現(xiàn)功能一、電路實(shí)現(xiàn)功能 此搶答電路模塊是由單穩(wěn)態(tài)觸發(fā)電路來(lái)實(shí)現(xiàn)報(bào)警電路的控制信號(hào),用 555 定 時(shí)器構(gòu)成多諧振蕩器,再用一個(gè)三極管(3dg12)組成一個(gè)放大電路,以此來(lái)驅(qū)動(dòng) 喇叭工作。先做整點(diǎn)報(bào)時(shí)電路,只要定時(shí)信號(hào)到來(lái), 555 單穩(wěn)態(tài)電路觸發(fā),555 多 諧振蕩電路產(chǎn)生振蕩

27、信號(hào)輸入 3dg12 基極,在發(fā)射極得到的放大信號(hào)推動(dòng)喇叭 工作;其他的時(shí)間控制報(bào)警電路處于穩(wěn)態(tài),不會(huì)報(bào)警。 二、原理分析二、原理分析 接通電源 vcc 后,電容 c2 通過(guò) r1,r2 進(jìn)行充電,當(dāng)電容電壓大于等于 的電源電壓時(shí),555 定時(shí)器組成的多諧振蕩器電路進(jìn)入一個(gè)暫穩(wěn)態(tài)。隨著電 3 2 容 c2 的放電,電容兩端的電壓隨之下降。當(dāng)電容電壓下降到小于等于的時(shí) 3 1 候電路又返回到前一個(gè)暫穩(wěn)態(tài)。因此,電容 c2 上的電壓將在和的電源電 3 2 3 1 壓之間來(lái)回充電和放電,從而時(shí)電路產(chǎn)生了震蕩,輸出矩形脈沖信號(hào)。該脈沖 信號(hào)經(jīng)過(guò) 1 千歐的限流電阻(防止電流過(guò)大損壞喇叭)通過(guò)三極管放大

28、推動(dòng)揚(yáng) 聲器發(fā)出聲響。 r5 為發(fā)射極電阻,c1 是發(fā)射極電阻旁路電容器,提供交流信號(hào)的通道, 減小信號(hào)放大過(guò)程中的損耗,使交流信號(hào)不因 r4 存在而降低放大功能。 結(jié) 語(yǔ) 本文主要論述了應(yīng)用數(shù)字電子邏輯原理等來(lái)實(shí)現(xiàn)優(yōu)先搶答鎖存,顯示搶答 選手號(hào)碼,搶答計(jì)時(shí),搶答報(bào)警,計(jì)時(shí)器到 40 時(shí)會(huì)有提示等功能的。 由于各方面條件的限制,只能通過(guò)翻閱大量圖書(shū)和資料來(lái)完成論文和充實(shí) 自己的知識(shí)面,所以本文的設(shè)計(jì)不是很成熟,還有很多的不足之處。本文對(duì)數(shù) 字電子邏輯原理之做了簡(jiǎn)單的論述,對(duì)其原理其技術(shù)應(yīng)用上遠(yuǎn)遠(yuǎn)不夠。然而數(shù) 字邏輯原理在數(shù)字技術(shù)領(lǐng)域上是非常重要的,所以對(duì)此技術(shù)還需深入研究。 數(shù)字技術(shù)是當(dāng)前發(fā)展最快的學(xué)科之一,相應(yīng)地,數(shù)字邏輯電路的設(shè)計(jì)方法 在不斷地演變和發(fā)展。所以,還需要我們不斷的學(xué)習(xí)和探索。 由于自己水平有限,本文的論述不夠全面,存在著很多的問(wèn)題,但時(shí)間有 限不能逐一探討。在今后的學(xué)習(xí)中,我會(huì)抓住每一個(gè)與這些問(wèn)題有關(guān)的學(xué)習(xí)機(jī) 會(huì),繼續(xù)深入的研究探討,拓展自己的視野。 參考文獻(xiàn) 1 鄧木生,電子技能訓(xùn)練m,機(jī)械工業(yè)出版社,2006 年版; 2 任為民,電子

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論