課程設(shè)計(論文)簡易數(shù)字信號傳輸分析儀_第1頁
課程設(shè)計(論文)簡易數(shù)字信號傳輸分析儀_第2頁
課程設(shè)計(論文)簡易數(shù)字信號傳輸分析儀_第3頁
課程設(shè)計(論文)簡易數(shù)字信號傳輸分析儀_第4頁
課程設(shè)計(論文)簡易數(shù)字信號傳輸分析儀_第5頁
已閱讀5頁,還剩23頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、簡易數(shù)字信號傳輸分析儀摘 要 本系統(tǒng)由數(shù)字信號發(fā)生器、偽隨機(jī)信號發(fā)生器、低通濾波器、數(shù)字信號分析電路組成。其中信號發(fā)生模塊以現(xiàn)場可編程門陣列(fpga)作為數(shù)字信號發(fā)生器,產(chǎn)生m序列偽隨機(jī)信號作為數(shù)字傳輸信號,而后該信號被送入由lm318構(gòu)成的低通濾波電路進(jìn)行濾波,濾波后的信號通過加法器lm358與信道噪聲(由fpga生成的偽隨機(jī)信號模擬)疊加,作為信號分析部分的最終輸入信號。然后通過“眼圖”觀測數(shù)字信號傳輸?shù)目垢蓴_能力。觀察顯示數(shù)字信號具有很強(qiáng)的抗干擾能力。關(guān)鍵詞: 現(xiàn)場可編輯邏輯門陣列, 位同步提取, 眼圖abstract this system consists of a signal

2、generator module and a signal processing module. a field programmable gate arrays (fpga) is used to generate m sequences pseudo random signal in the signal generator. the signal is then filtered by a second-order low pass filter in which a lm318 is used as a core. the filtered signal is added with t

3、he channel noise (a simulated pseudo random generated by a fpga) by adder lm358. this signal is treated as the final input signal. the signal analysis is performed by a digital signal analysis circuit and an oscilloscope. in signal analysis, bit synchronization signal can be extracted from input sig

4、nal so as to ensure the horizontal scan cycle of oscilloscope is identical with the signal cycle. and the signal eye diagram can be displayed in the oscilloscope. from the eye diagram, the intersymbol interference and noise can be analyzed to test the signal transmission performance. keywords: fpga,

5、 bit synchronization extraction , eye chart3一、任務(wù)1、 要求(1) 基本要求 設(shè)計并制作一個數(shù)字信號信號發(fā)生器:a) 數(shù)字信號v1為f1(x)=1+x2+x3+x4+x8的m序列,其時鐘信號為v1-clock;b)數(shù)據(jù)率為10100kbps,按10kbps步進(jìn)可調(diào)。數(shù)據(jù)率誤差絕對植不大于1%;c) 輸出信號為ttl電平。 設(shè)計三個低通濾波器,用來模擬傳輸信道的幅頻特性; a) 每個濾波器帶外衰減不少于40db/十倍頻程;b) 三個濾波器的截止頻率分別為100khz、200khz、500khz,截止頻率誤差絕對值不大于10%;c) 濾波器的通帶增益在

6、0.24.0范圍可調(diào)。 設(shè)計一個偽隨機(jī)信號發(fā)生器用來模擬信道噪聲; a) v3f2(x)=1+x+x4+x5+x12的m序列 b) 數(shù)據(jù)率為10mbps,誤差絕對值不大于1%; c) 輸出信號峰峰值為100mv,誤差絕對值不大于10%。 利用數(shù)字信號發(fā)生器產(chǎn)生的時鐘信號v1-clock進(jìn)行同步,顯示數(shù)字信號v2a的信號眼圖,并測試眼幅度。(2) 發(fā)揮部分 要求數(shù)字信號發(fā)生器輸出的v1采用曼徹斯特編碼。 要求數(shù)字信號分析電路能從v2a中提取同步信號v4-syn并輸出;同時,利用所提取的同步信號v4-syn進(jìn)行同步,正確顯示數(shù)字信號v2a的信號眼圖。 要求偽隨機(jī)信號發(fā)生器輸出信號v3幅度可調(diào),v3

7、的峰峰值范圍為100mvttl電平。 改進(jìn)數(shù)字信號分析電路,在盡量低的信噪比下能從v2a中提取同步信號v4-syn,并正確顯示v2a的信號眼圖。 其他。 圖1 原理圖 二、 方案論證1. 系統(tǒng)框圖圖2 傳輸框圖2. m序列信號發(fā)生方案m序列是一種偽隨機(jī)序列,具有結(jié)構(gòu)簡單、實現(xiàn)方便的特點,在現(xiàn)代工業(yè)實踐中應(yīng)用廣泛。產(chǎn)生m序列的方法很多,如利用通用數(shù)字器件構(gòu)成、利用軟件方式構(gòu)和利用fpga構(gòu)成等方式,各有利弊。方案一:本方案采用74ls194移位寄存器級連成n級移位寄存器。用通用數(shù)字器件構(gòu)成的特點是速度可以很快,但硬件電路不便于修改,只能產(chǎn)生單一n級的m序列偽隨機(jī)信號。方案二:本方案采用單片機(jī)軟件

8、構(gòu)成的方式產(chǎn)生m序列偽隨機(jī)信號。軟件構(gòu)成的特點是采用靈活的數(shù)據(jù)查詢方式,可以獲得任意級數(shù)n的本原多項式系數(shù),從而實現(xiàn)m序列的產(chǎn)生,但速度受到單片機(jī)工作速度的限制。方案三:此方案采用fpga產(chǎn)生m序列偽隨機(jī)信號。fpga具有豐富的i/o口、內(nèi)部邏輯線和連線資源,運行速度快。該方案使用fpga產(chǎn)生信號,因而即具有硬件電路的各項優(yōu)點,又具有設(shè)計上的靈活性可獲得任意級數(shù)n的m序列本原多項式系數(shù),級數(shù)切換速度快。對于本題來說,需要生成兩種m序列偽隨機(jī)信號。采用方案三則只需改動程序參數(shù),即可通過同一塊fpga系統(tǒng)板產(chǎn)生所需的數(shù)字傳輸信號和偽隨機(jī)信號,避免了硬件實現(xiàn)方式需要重新設(shè)計電路的麻煩。為了很好的完成

9、題目的基本要求及發(fā)揮部分,經(jīng)綜合考慮采用此種方案三。3. 低通濾波器方案對于本題來說,可采用有源濾波和無源濾波兩種方案。方案一:采用無源濾波器。無源濾波器由無源元件(電阻、電容、電感)組成,具有高頻性能好、電路簡單、功能可靠、無需直流供電,能夠輸出高壓大電流等優(yōu)點。但無源濾波器帶負(fù)載能力較差,功耗大,不但通帶放大倍數(shù)會因負(fù)載電阻而減小,而且通帶截至頻率也會因負(fù)載電阻而增大。同時無源濾波器的體積和重量也比較大,其電感還會引起電磁干擾。方案二:采用有源濾波器。有源濾波器由電阻、電容和有源器件(如集成運放)組成,具有電路體積小重量輕、通帶內(nèi)信號可放大、精度高、性能穩(wěn)定、易于調(diào)試、負(fù)載效應(yīng)小、可多級相

10、連構(gòu)成高階濾波器等諸多優(yōu)點。但由于集成運放所限,有源濾波電路不適于高電壓大電流負(fù)載,而只適用于信號處理。根據(jù)可用的芯片資源,最終使用lm318高速運放實現(xiàn)二階巴特沃斯濾波器。根據(jù)題目具體要求,系統(tǒng)只需對弱電信號進(jìn)行處理,且對于信號處理的精確性要求較為苛刻,因此方案二更為適合。4. 數(shù)字分析電路方案題目要求數(shù)字分析電路從輸入碼元序列中提取同步信號,故采用通信原理中的位同步技術(shù)。方案一:采用自同步法中的濾波法,但濾波法要求收端濾波器性能精確和穩(wěn)定,否則將出現(xiàn)為同步信號的相位抖動。特別是當(dāng)全0和全1時的時間持續(xù)更長,相位抖動更大。方案二:采用自同步法中的鎖相環(huán)方法。用數(shù)字鎖相環(huán)提取同步信號,由于采用

11、了數(shù)字電路個實際應(yīng)用是方便、可靠、易于實現(xiàn)集成化的。綜上所述,選擇方案二。5. 加法器方案題目要求使用加法器使信號和模擬出來的噪聲信號疊加。方案一:采用同相加法器電路,使用ad8056芯片,但由于此芯片不適合做加法器,所以放棄了此方案。方案二:采用同相加法器電路,使用lm358芯片,并在同相端加一個對地電阻。實際效果不錯。故使用此電路。三、理論分析與計算1.低通二階巴特沃斯低通濾波電路圖及電路原理巴特沃斯濾波器的特點是通頻帶內(nèi)的頻率響應(yīng)曲線最大限度平坦,沒有起伏,而在阻頻帶則逐漸下降為零。 在振幅的對數(shù)對角頻率的波得圖上,從某一邊界角頻率開始,振幅隨著角頻率的增加而逐步減少,趨向負(fù)無窮大。巴特

12、沃斯濾波器的振幅對角頻率單調(diào)下降,并且也是唯一的無論階數(shù),振幅對角頻率曲線都保持同樣的形狀的濾波器。只不過濾波器階數(shù)越高,在阻頻帶振幅衰減速度越快。根據(jù)實驗參數(shù)要求,本方案選擇二階低通濾波器。其電路圖如圖3所示。圖3 二階巴特沃斯低通濾波電路對于二階低通濾波器其歸一化函數(shù):將此式與2階歸一化的巴特沃斯低通濾波器傳遞函數(shù)的分母多項式:,比較得 通帶內(nèi)的電壓放大倍數(shù)為濾波器的截至角頻率為:故由以上各式可得出電路參數(shù)為:驗證:由于,將三組r,c值分別代入,得到的值當(dāng)時,經(jīng)計算得到的實際計算值。當(dāng)時,經(jīng)計算得到的實際計算值。當(dāng)時,經(jīng)計算得到的實際計算值。值得注意的是,實際電路中,由于題目要求通帶增益可

13、調(diào),而低通濾波器的增益需通過重新設(shè)定rc值進(jìn)行調(diào)整,較為麻煩。因此本設(shè)計中采用無增益低通濾波器,后接增益可變的同相放大器以實現(xiàn)系統(tǒng)增益的快速、靈活調(diào)節(jié)。2衰減器無線系統(tǒng)測試中常常需要對從一個設(shè)備到另一個設(shè)備的信號進(jìn)行衰減。例如,射頻發(fā)射機(jī)測試中,涉及 的功率等級常常從幾瓦到幾百瓦甚至上千瓦,這么大功率的信號必須得經(jīng)過衰減以后才可以連接到大部分 的測試設(shè)備中,否則會對測試設(shè)備有損害。一種叫做衰減器的簡單電路常常能用來減少信號幅度,而且衰 減器不但可以把信號電壓衰減到一定值還可以對阻抗值進(jìn)行變換。實現(xiàn)此功能的電路常常被稱作型或 t 型衰減網(wǎng)絡(luò)。采用型衰減器,偽隨機(jī)信號在fpga輸出后要對v3信號幅

14、度衰減到100mv,本方案使用型衰減器,純阻抗網(wǎng)絡(luò)對信號的頻率和波形沒有影響。采用型衰減器,偽隨機(jī)信號在fpga輸出后要對v3信號幅度衰減到100mv,本方案使用型衰減器,純阻抗網(wǎng)絡(luò)對信號的頻率和波形沒有影響。電路圖如圖4所示圖4 型衰減器如圖7所示電路為型網(wǎng)絡(luò)電路。由于受引線和焊點的影響,阻值過小,很難保證其精度,從而影響衰減的準(zhǔn)確度。所以,當(dāng)要求衰減較大時用型衰減器較合適。 型衰減器計算公式為: ,其中,z為輸入阻抗。經(jīng)計算衰減倍數(shù)為33時,當(dāng),時,經(jīng)推導(dǎo)計算得型衰減器中輸入輸出阻抗,代入得3.加法器利用運放中虛短、虛段的概念,做加法比例系數(shù)為1 的同相求和電路。作為數(shù)字信號的輸入,我們要

15、將v3信號和v2信號求和。如圖5所示。圖5 加法器電路其中,= =1k,將電路中的阻值取同一阻值帶入到電路中,得4.比較器電壓比較器是對兩個模擬電壓比較其大小,并判斷出其中哪一個電壓高。本方案需要用到任意點評的比較器,在曼徹斯特編碼經(jīng)過加法器之后,要進(jìn)入第二塊fpga做解碼之前,需要將信號整波成波形較好的50%占空比的方波。如圖6所示圖6 lm311比較器電路輸入信號ui 加到反向輸入端,在同相輸入端加一個參考電壓uref,當(dāng) ui 輸入電壓小于參考電壓uref 時,輸出為+uom當(dāng)輸入電壓ui 大于參考電壓uref 時,輸出為-uom。即,本題目中為1.6v左右,輸出+為3.28v四、軟件部

16、分本系統(tǒng)使用verilog語言編程,利用fgpa實現(xiàn)m序列信號的產(chǎn)生及對傳輸信號的分析提取操作。軟件設(shè)計分為基礎(chǔ)部分和拓展部分兩個部分。1基礎(chǔ)部分基礎(chǔ)要求的軟件設(shè)計包括m序列隨機(jī)信號發(fā)生和偽隨機(jī)1.1 m序列隨機(jī)信號發(fā)生m序列隨機(jī)發(fā)生部分的軟件設(shè)計能夠使fgpa生成作為傳輸信號和噪聲的兩種m序列偽隨機(jī)信號。m序列發(fā)生器:使用matlab產(chǎn)生生成一個m序列碼表,在fgpa內(nèi)部生成一個rom表,采用查表法實現(xiàn)m序列發(fā)生器。調(diào)整查表頻率實現(xiàn)m序列從10khz100khz的10khz步進(jìn)。生成m序列碼表:在matlab中編寫生成m序列的代碼,根據(jù)m序列的函數(shù)確定各項系數(shù),輸入系數(shù)成碼表。根據(jù)碼表確定r

17、om表位地址數(shù)。生成的部分碼表content begin0 : 1;1.7 : 0;8 : 1;9 : 0;10.11 : 1;12.14 : 0;15.18 : 1;19 : 0;20 : 1;21.24 : 0;25.32 : 1;33.34 : 0;35 : 1;36.39 : 0;40 : 1;41 : 0;42 : 1;43.44 : 0;45.49 : 1;end;fgpa部分主要代碼如下所示:module acc( rstn, clock, result) ;input rstn , clock; / 輸入輸出端口說明output 7: 0 result;reg 7: 0 res

18、ult;always( posedge clock or posedge rstn ) / 功能實現(xiàn) begin if( rstn ) result = 8b00000000;/地址清零 else if( result = 8b11111110 ) result = 8b00000000; else result = result + 1b1;/地址加1 endendmodule步進(jìn)頻率分頻的代碼如下:module pinlu(clk,clk1,clk2,code);/- 分頻模塊input clk;input 3:0 code ;output clk1;output clk2;fenpin

19、u1(clk,clk1,clk2,code);endmodulemodule fenpin (clkin,clk1,clk2,code);input clkin;input 3:0 code ;output clk1;output clk2;reg clk1;reg clk2;reg 12:0 temp;reg 12:0 temp1;reg 12:0 temp2;always ( code )/ 鍵控分頻值case( code )4h1: temp2 = 13b1_0011_1000_0111;4h2: temp2 = 13b0_1001_1100_0011;4h3: temp2 = 13b0

20、_0110_1000_0010;4h4: temp2 = 13b0_0100_1110_0001;4h5: temp2 = 13b0_0011_1110_0111;4h6: temp2 = 13b0_0011_0100_0001;4h7: temp2 = 13b0_0010_1100_1010;4h8: temp2 = 13b0_0010_0111_0000;4h9: temp2 = 13b0_0010_0010_1011;4ha: temp2 = 13b0_0001_1111_0011;default: temp2 = 13b1_0011_1000_0111;endcasealways (p

21、osedge clkin)/分頻計數(shù)器begintemp=temp+1;if (temp=temp2) begin clk2 = clk2;/時鐘信號輸出 temp = 0; endendendmodule在quartus ii工具欄中點擊tools選擇netlist viewers選項 再選擇rtl viewer生成rtl圖 圖11 m序列的rtl圖圖12 m序列的邏輯仿真圖1.2 偽隨機(jī)信號發(fā)生器生成的信號同樣為m序列,頻率為10m。方法同m序列發(fā)生器一致。其主要程序為:begin/分頻計數(shù)器temp1=temp1+1;if (temp1=13b0_0000_0000_0100) /- 4

22、 begin clk1 = clk1;/時鐘信號輸出 temp1 = 0; endend圖13 偽隨機(jī)信號的邏輯仿真圖1.3 擴(kuò)展部分曼徹斯特信號發(fā)生器。曼徹斯特編碼:在曼徹斯特編碼中,每一位的中間有一跳變,位中間的跳變既作時鐘信號,又作數(shù)據(jù)信號;從低到高跳變表示1,從高到低跳變表示0。還有一種是差分曼徹斯特編碼,每位中間的跳變僅提供時鐘定時,而用每位開始時有無跳變表示0或1,有跳變?yōu)?,無跳變?yōu)?。 圖14 曼徹斯特編碼圖編程代碼如下:module mcst/曼徹斯特模塊( clk, m_in, out_mcst); input clk;input m_in;output out_mcst;

23、reg out_mcst; always( clk ) / 功能實現(xiàn) begin if( clk=1b0 ) out_mcst = m_in; else if( clk=1b1 ) out_mcst = m_in; endendmodule圖15 曼徹斯特仿真圖1.4曼徹斯特碼的解碼曼徹斯特信號解碼:曼徹斯特信號解碼是把曼徹斯特信號中的時鐘信號提取出來,再利用時鐘信號進(jìn)行解碼。解碼代碼: module jie( inclk0,clk, sgin, reset,clkout, mtjiema, mcccc, mcccc2, sgout, kout);/曼徹斯特解碼電路always(posedge

24、 clk or negedge reset) if(!reset) begin sgin0=1b0; sgin1=1b0; sgin2=1b0; end else begin sgin0=sgin; sgin1=sgin0; sgin2=sgin1; endassign sgout=(sgin2)&sgin1 | sgin2&(sgin1); always(posedge clk or negedge reset) if(!reset)begincounter=16b0000_0000_0000_0000;tem1=16b0000_0000_0000_0000;tem2=16b0000_000

25、0_0000_0000;endelse if(sgout)begintem2=tem1;counter=16b0000_0000_0000_0000;end elsebegin counter=counter+1b1;tem1=counter;endalways(posedge clk or negedge reset) if(!reset)begintem3=16b0000_0000_0000_0000;tem4=16b0000_0000_0000_0000;endelsebeginif(tem3tem2)tem31;endalways(posedge clk or negedge rese

26、t) if(!reset)counter2=16b0000_0000_0000_0000;elseif(sgout)begincounter2=16b0000_0000_0000_0000;kout=1b0;tem5=2b10;endelseif(counter2=(tem4-tem5)beginkout=1b1;counter2=16b0000_0000_0000_0000;endelsebeginkout=1b0;tem5=2b00;counter2=counter2+1b1;endassign mcccc=kout | sgout;/完整脈沖信號 always( inclk0 ) beg

27、in if( inclk0=1b1 ) mcccc2 = mcccc; else if( inclk0=1b0 ) mcccc2 = 1b0; endalways(posedge clk or negedge reset)/根據(jù)脈沖信號解出時鐘信號 if(!reset)beginclkout(tem4+4b1000)if(mcccc)beginclkout=1b0;endelsebeginclkout=clkout;endelseif(mcccc)beginclkout=clkout;endelsebeginclkout=clkout;endalways(posedge clk or nege

28、dge reset)/曼徹斯特解碼 if(!reset)mtjiema=1b0;elseif(clkout)mtjiema=sgin2;elsemtjiema=sgin2;endmodule 圖16 曼徹斯特的rtl圖圖17解碼仿真圖五、測試方案與測試結(jié)果1.測試方法與儀器1.1 測試儀器rigol-ds1102ca示波器、yb4320a模擬示波器、rigol-dg1022信號源、dy2105多功能數(shù)字萬用表、fpga_cyclone_ii_ep2c5/ep2c8下載板1.2 測試方法硬件測試:1、制作出低通濾波器,將一正弦信號作為測試信號輸入低通濾波器,通過調(diào)節(jié)輸入信號,觀察對應(yīng)輸出信號波形

29、的方法,即可測得濾波器各項性能指標(biāo)。2、制作行衰減器使輸入信號衰減40db。3、制作加法器,將通過濾波器的數(shù)字信號與通過衰減器的噪聲信號相加,測量示波器眼圖。關(guān)鍵問題解決:(1)示波器問題,一開始使用數(shù)字示波器,可是無法調(diào)節(jié)觸發(fā)模式,所以該換模擬示波器。(2)濾波器問題,為了解決此問題,我們設(shè)計了許多電路,使用不同的芯片,最終選用效果最好的電路。(3)加法器問題,第一次制作的加法器電路的實際效果并不好,通過詢問老師和查閱資料在運算放大器的同相端加了一個對地電阻后最終解決了問題。軟件測試:采用自下而上的調(diào)試方式,先進(jìn)行模塊測試程序的調(diào)試,待全部通過之后將所有的軟件程序串接起來并結(jié)合硬件電路進(jìn)行整體調(diào)試。2. 測試結(jié)果與分析信號經(jīng)過低通濾波器后的波形圖,示波器的channel 1接低通濾波器的輸出,如圖13所示圖18 低通輸出波形圖測試數(shù)據(jù)如下:表1低通濾波器性能測試數(shù)據(jù)表理論截止頻率(hz)實際截止頻率(hz)系統(tǒng)衰減(db)100k99k40200k200k40500k498k40結(jié)果分析:以上結(jié)果說明濾波器確能滿足題目對其的各項要求。示波器的channel 1接第一塊fpga的j4_13,偽隨機(jī)信號波形圖如圖14所示圖19 偽隨機(jī)信號波形圖示波器的channel 1接衰減器的輸出,偽隨機(jī)信號經(jīng)衰減器后的波形圖,如圖15所示圖20 噪聲經(jīng)過衰減器后的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論