593638874《EDA技術(shù)》課程設(shè)計(jì)報(bào)告彩燈控制器_第1頁(yè)
593638874《EDA技術(shù)》課程設(shè)計(jì)報(bào)告彩燈控制器_第2頁(yè)
593638874《EDA技術(shù)》課程設(shè)計(jì)報(bào)告彩燈控制器_第3頁(yè)
593638874《EDA技術(shù)》課程設(shè)計(jì)報(bào)告彩燈控制器_第4頁(yè)
593638874《EDA技術(shù)》課程設(shè)計(jì)報(bào)告彩燈控制器_第5頁(yè)
已閱讀5頁(yè),還剩12頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、eda技術(shù)課程設(shè)計(jì)報(bào)告題 目: 彩燈控制器 專 業(yè): 電子信息工程 班 級(jí): 0702 姓 名: 指導(dǎo)教師: 二0一 0 年 6 月 24 日目 錄1設(shè)計(jì)目的32設(shè)計(jì)題目描述和要求33設(shè)計(jì)原理33.1方案論證33.2模塊設(shè)計(jì)43.3系統(tǒng)結(jié)構(gòu)64總結(jié)75參考書(shū)目86附錄一程序97附錄二編譯168附錄三時(shí)序仿真171.設(shè)計(jì)目的 學(xué)習(xí)eda開(kāi)發(fā)軟件和max+plus 的使用方法,熟悉可編程邏輯器件的使用,通過(guò)制作來(lái)了解彩燈控制系統(tǒng)。2.設(shè)計(jì)題目描述和要求1) 設(shè)計(jì)一個(gè)彩燈控制器,能使8個(gè)彩燈(led管)能連續(xù)發(fā)出四六種以上不同的顯示形式;2)隨著彩燈顯示圖案的變化,發(fā)出不同的音響聲。3.設(shè)計(jì)原理3.

2、1 方案論證這次的彩燈設(shè)計(jì)采用的是分模塊來(lái)完成的,包括分頻器、計(jì)數(shù)器、選擇器、彩燈控制器。其中彩燈控制器是用來(lái)輸出不同的花樣,彩燈控制器的輸出則是用一個(gè)32進(jìn)制的計(jì)數(shù)器來(lái)控制,揚(yáng)聲器的輸出時(shí)用不同的頻率來(lái)控制,所以用了一個(gè)集成分頻器來(lái)使輸入的頻率被分為幾種不同的頻率,不同頻率的選擇性的輸出則是用一個(gè)4選一的選擇器來(lái)控制?;谏鲜龅慕榻B本次的彩燈控制采用的模式6來(lái)進(jìn)行顯示。 圖3-1-1 模式6結(jié)構(gòu)圖3.2 模塊設(shè)計(jì)1)集成分頻器模塊設(shè)計(jì)要求顯示不同的彩燈的時(shí)候要伴隨不同的音樂(lè),所以設(shè)計(jì)分頻器來(lái)用不同的頻率控制不同的音樂(lè)輸出。模塊說(shuō)明:rst:輸入信號(hào) 復(fù)位信號(hào) 用來(lái)復(fù)位集成分頻器的輸出使輸出為

3、“0”,及沒(méi)有音樂(lè)輸出。clk:輸入信號(hào) 模塊的功能即為分頻輸入的頻率信號(hào)。clk_4、clk_6、clk_8、clk_10:輸出信號(hào) 即為分頻模塊對(duì)輸入信號(hào)clk的分頻,分別為1/4分頻輸出、1/6分頻輸出、1/8分頻輸出、1/10分頻輸出。 圖3-2-1 集成分頻器 2)32進(jìn)制計(jì)數(shù)器模塊32進(jìn)制模塊用來(lái)控制彩燈輸出模塊,即確定彩燈控制器的不同的輸出。rst:輸入信號(hào) 復(fù)位信號(hào) 用來(lái)復(fù)位32進(jìn)制使其輸出為“00000”。clk:輸入信號(hào) 用來(lái)給模塊提供工作頻率。count_out4.0:輸出信號(hào) 即為32進(jìn)制計(jì)數(shù)器的輸出。 圖3-2-2 32進(jìn)制計(jì)數(shù)器3)彩燈控制模塊彩燈控制模塊用來(lái)直接控

4、制彩燈的輸出,使彩燈表現(xiàn)出不同的花樣。rst:輸入信號(hào) 使彩燈控制模塊的輸出為“00000000”,即讓彩燈無(wú)輸出。input4.0:輸入信號(hào) 不同的輸入使彩燈控制模塊有不同的輸出即彩燈顯示出不同的花樣。output7.0:輸出信號(hào) 直接與彩燈相連來(lái)控制彩燈。 圖3-2-3 彩燈控制模塊4) 4選1選擇器模塊rst:輸入信號(hào) 復(fù)位信號(hào) 使選擇器的輸出為“0”。in1、in2、in3、in4:輸入信號(hào) 接分頻器的輸出。inp1.0:輸入信號(hào) 接4進(jìn)制計(jì)數(shù)器的輸出用來(lái)控制選擇器的選擇不同的輸入選擇不同的輸出。output:輸出信號(hào) 直接接揚(yáng)聲器即輸出的是不同的頻率來(lái)控制揚(yáng)聲器播放音樂(lè)。 圖3-2-

5、4 4選1選擇器 5)4進(jìn)制計(jì)數(shù)器模塊4進(jìn)制計(jì)數(shù)器作為選擇器的輸入來(lái)控制選擇器選擇不同的頻率作為輸出控制揚(yáng)聲器工作。clk:輸入信號(hào) 來(lái)為計(jì)數(shù)器提供工作頻率。rst:輸入信號(hào) 復(fù)位信號(hào) 使計(jì)數(shù)器的輸出為“00”。 圖3-2-5 4進(jìn)制計(jì)數(shù)器3.3 系統(tǒng)結(jié)構(gòu) 整個(gè)系統(tǒng)就是各個(gè)分模塊組成來(lái)實(shí)現(xiàn)最后的彩燈控制功能,系統(tǒng)又兩個(gè)時(shí)鐘來(lái)控制一個(gè)是控制32進(jìn)制計(jì)數(shù)器即控制彩燈控制模塊來(lái)實(shí)現(xiàn)彩燈的不同輸出,另一個(gè)時(shí)鐘為分頻器的輸入來(lái)進(jìn)行分頻處理,最后用來(lái)控制揚(yáng)聲器發(fā)出不同的音樂(lè),具體分頻處理的時(shí)鐘的頻率比實(shí)現(xiàn)彩燈控制的時(shí)鐘頻率要高。 圖 3-3-1 系統(tǒng)功能模塊4.總結(jié)這次的eda課程設(shè)計(jì)有一周的時(shí)間,在這一

6、周的時(shí)間里我們充分合理的安排了自己的時(shí)間來(lái)使本次的課程設(shè)計(jì)能夠順利的完成,當(dāng)然我們?cè)诒敬蔚脑O(shè)計(jì)中并不是一帆風(fēng)順的,我們遇到了一些的問(wèn)題,例如我們開(kāi)始時(shí)用的文本的方式用一個(gè)總的程序來(lái)完成,可以在設(shè)計(jì)的過(guò)程中我們發(fā)現(xiàn)程序編到后面變量越到很容易搞混淆同時(shí)各個(gè)進(jìn)程間的聯(lián)系也越來(lái)越模糊以至于后面我們自己都不知道程序的整體框圖是什么,導(dǎo)致后面不能夠繼續(xù)下去,后面我們?cè)僖淮螌?duì)我們這次的設(shè)計(jì)題目進(jìn)行了分析和整理,最后我和我的同伴決定采用分模塊的方式來(lái)完成本次的課題設(shè)計(jì),當(dāng)然最重要的是分析各個(gè)模塊間的關(guān)系。最后我們采用上面分析的結(jié)構(gòu)框圖。最后我們的設(shè)計(jì)很成功,仿真和硬件測(cè)試都是正確的,實(shí)現(xiàn)了我們的設(shè)計(jì)要求和目的

7、。在這次設(shè)計(jì)中我們收獲了很多,首先最直接的收獲就是我們鞏固了這門(mén)課程所學(xué)過(guò)的知識(shí),把它運(yùn)用到了實(shí)踐當(dāng)中,并且學(xué)到了很多在書(shū)本撒和那個(gè)所沒(méi)有學(xué)到的知識(shí),通過(guò)查閱相關(guān)資料進(jìn)一步加深了對(duì)eda的了??偟膩?lái)說(shuō),通過(guò)這次課程設(shè)計(jì)不僅鍛煉了我們的動(dòng)手和動(dòng)腦能力,也使我懂得了理論與實(shí)際相結(jié)合的重要性,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,要把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),才能提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在我們的共同努力和指導(dǎo)老師的指引下我們圓滿的完成了彩燈控制器的設(shè)計(jì),實(shí)現(xiàn)了設(shè)計(jì)目的。參考書(shū)目:1 趙偉軍,protel99se教程,北京,人民郵電出版社,1996年2 金西,vhdl與復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì),西安

8、,西安電子科技大學(xué)出版社,20033 漢澤西,eda技術(shù)及其應(yīng)用,北京,北京航空航天大學(xué)出版社,20046附錄一 程序:-分頻器模塊- max+plus ii vhdl template- clearable loadable enablable counterlibrary ieee;use ieee.std_logic_1164.all;entity fenpinqi isport(clk,rst: instd_logic;clk_10,clk_4,clk_6,clk_8: out std_logic);end fenpinqi;architecture cd of fenpinqi is

9、beginp1:process(clk,rst) variable a:integer range 0 to 20; begin if rst=1 then clk_4=3 then a:=0; clk_4=1; else a:=a+1; clk_4=0; end if; end if; end if;end process p1;p2:process(clk,rst) variable b:integer range 0 to 20; begin if rst=1 then clk_6=5 then b:=0; clk_6=1; else b:=b+1; clk_6=0; end if; e

10、nd if; end if;end process p2;p3:process(clk,rst) variable c:integer range 0 to 20; begin if rst=1 then clk_8=7 then c:=0; clk_8=1; else c:=c+1; clk_8=0; end if; end if; end if;end process p3;p4:process(clk,rst) variable d:integer range 0 to 20; begin if rst=1 then clk_10=9 then d:=0; clk_10=1; else

11、d:=d+1; clk_10=0; end if; end if; end if;end process p4;end cd;-4選1選擇器-library ieee;use ieee.std_logic_1164.all;entity xzq4_1 isport( rst:in std_logic; inp:in integer range 0 to 3;in1,in2,in3,in4: in std_logic;output: out std_logic);end xzq4_1;architecture a of xzq4_1 isbeginprocess (rst,inp)begin i

12、f(rst=1) then outputoutputoutputoutputoutputnull; end case; end if;end process;end a;-彩燈控制模塊-library ieee;use ieee.std_logic_1164.all;entity caideng isport(input: ininteger range 0 to 31; rst:in std_logic; output: out std_logic_vector(7 downto 0); sm :out std_logic_vector(6 downto 0);end caideng;arc

13、hitecture a of caideng isbeginprocess (input)begin if rst=1 then output=00000000;smoutput=10000000;smoutput=01000000;smoutput=00100000;smoutput=00010000;smoutput=00001000;smoutput=00000100;smoutput=00000010;smoutput=00000001;smoutput=00010000;smoutput=00110000;smoutput=00111000;smoutput=01111000;smo

14、utput=01111100;smoutput=01111110;smoutput=11111110;smoutput=11111111;smoutput=10000001;smoutput=11000001;smoutput=11000011;smoutput=11100011;smoutput=11100111;smoutput=11110111;smoutput=11111111;smoutput=00001000;smoutput=00000001;smoutput=00000010;smoutput=00000100;smoutput=00001000;smoutput=000100

15、00;smoutput=00100000;smoutput=01000000;smoutput=10000000;smnull; end case; end if; end process; end a;-32進(jìn)制計(jì)數(shù)器模塊-library ieee;use ieee.std_logic_1164.all;entity counter_32 isport(clk,rst: instd_logic;count_out: out integer range 0 to 31);end counter_32;architecture a of counter_32 isbeginprocess (rs

16、t,clk) variable temp:integer range 0 to 32;beginif rst=1 then temp:=0;elsif (clkevent and clk=1) then temp:=temp+1; if(temp=32) then temp:=0; end if;end if; count_out=temp;end process;end a;-4進(jìn)制計(jì)數(shù)器模塊-library ieee;use ieee.std_logic_1164.all;entity counter_4 isport(clk,rst: instd_logic;count_out: out integer range 0 to 3);end counter_4

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論