畢業(yè)設(shè)計(jì)基于單片機(jī)的一氧化碳報(bào)警器設(shè)計(jì)_第1頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的一氧化碳報(bào)警器設(shè)計(jì)_第2頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的一氧化碳報(bào)警器設(shè)計(jì)_第3頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的一氧化碳報(bào)警器設(shè)計(jì)_第4頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的一氧化碳報(bào)警器設(shè)計(jì)_第5頁
已閱讀5頁,還剩31頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、成都電子機(jī)械高等專科學(xué)校成教院畢 業(yè) 設(shè) 計(jì)(論 文)論文題目: 基于單片機(jī)的一氧化碳報(bào)警設(shè)計(jì)教 學(xué) 點(diǎn): 指導(dǎo)老師: 職 稱: 學(xué)生姓名: 學(xué) 號(hào): 專 業(yè): 機(jī)電一體化成都電子機(jī)械高等??茖W(xué)校成教院制 年 月 日第一章摘要一氧化碳(co)為無色、無味、無刺激性氣體,比重0.967,幾乎不溶于水,不易被活性炭吸附。當(dāng)碳物質(zhì)燃燒不完全時(shí),可產(chǎn)生co,如人體短時(shí)間內(nèi)吸收較高濃度的c0,或濃度雖低,但吸時(shí)間較長,均可造成急性中毒。co與血紅蛋白結(jié)合能力超過氧和血紅蛋白的結(jié)合能力的200-300倍,當(dāng)co與血紅蛋白結(jié)合形成的碳氧血紅蛋白含量達(dá)到5%時(shí),就會(huì)對(duì)人體產(chǎn)生慢性損害,達(dá)到60%時(shí)就會(huì)昏迷,達(dá)

2、到90%就會(huì)死亡。所以基于單片機(jī)設(shè)計(jì)制作一氧化碳報(bào)警器,來保障人們的生命財(cái)產(chǎn)安全。 abstractcarbon monoxide (co) is a colorless, odorless, non irritant gases, specific gravity 0.967, almost insoluble in water, not easily by activated carbon adsorption. when the incomplete combustion of carbon material, can produce co, such as the human body

3、 in a short period of time to absorb high levels of c0, or concentration is low, but suck for a long time, all can cause acute poisoning. co combined with hemoglobin ability more than the combination of oxygen and hemoglobin ability of 200-300 times, when co is formed by the combination of carbon an

4、d oxygen hemoglobin and hemoglobin content reaches 5%, will produce chronic damage to human body, 60% will be in a coma, and 90% will die. so based on single chip design and production of carbon monoxide alarm, to ensure peoples life and property security. 目 錄第一章 一氧化碳報(bào)警器簡介6第二章 設(shè)計(jì)方案6第一節(jié) 單片機(jī)的介紹和選用6第二節(jié)

5、 設(shè)計(jì)要求及思路7第三節(jié) 初始方案與確定7第四節(jié) 系統(tǒng)組成8第五節(jié) 一氧化碳報(bào)警器系統(tǒng)的三大部分8第二章 硬件電路設(shè)計(jì)11第一節(jié) 單片機(jī)基礎(chǔ)知識(shí)簡介介紹及主控電路設(shè)計(jì)11第二節(jié) 模數(shù)轉(zhuǎn)換部分電路設(shè)計(jì)16第三節(jié) 傳感器部分電路設(shè)計(jì)19第四節(jié) 報(bào)警電路的設(shè)計(jì)22第三章 軟件設(shè)計(jì)23第一節(jié) 單片機(jī)編程23第二節(jié) 匯編語言概況23第三節(jié) 源程序24結(jié) 論26致 謝27 第一章 一氧化碳報(bào)警器簡介設(shè)計(jì)出性能更加可靠,經(jīng)濟(jì)實(shí)惠的一氧化碳報(bào)警器。我們應(yīng)該對(duì)國家標(biāo)準(zhǔn)規(guī)定的燃?xì)鈭?bào)警器的種類有所了解。燃?xì)鈭?bào)警器可分為可燃?xì)怏w泄漏儀(簡稱“檢漏儀”),可燃?xì)怏w報(bào)警控制器(簡稱“控制器”)、可燃?xì)怏w探測(cè)器(簡稱“探測(cè)

6、器”)、可燃?xì)怏w報(bào)警器(簡稱“報(bào)警器”)四大系列產(chǎn)品??扇?xì)鈭?bào)警器的核心是氣體傳感器,俗稱“電子鼻”。當(dāng)氣體傳感器遇到燃?xì)鈺r(shí),傳感器電阻隨燃?xì)鉂舛榷兓?,隨之產(chǎn)生電信號(hào),供燃?xì)鈭?bào)警器后繼線路處理。經(jīng)過電子路線處理變成濃度成比例變化的電壓信號(hào),由線性電路加以補(bǔ)償,使信號(hào)線性化,經(jīng)微機(jī)處理、邏輯分析,輸出各種控制信號(hào),即當(dāng)燃?xì)鉂舛冗_(dá)到報(bào)警設(shè)定值時(shí),燃?xì)鈭?bào)警器發(fā)出聲光報(bào)警信號(hào)。如果環(huán)境中co含量超出安全范圍,常人很難發(fā)現(xiàn),為了保證人們生命健康和正常生產(chǎn)不受影響,實(shí)時(shí)檢測(cè)co含量十分重要。所以基于單片機(jī)設(shè)計(jì)制作一氧化碳報(bào)警器,來保障人們的生命財(cái)產(chǎn)安全。意義在于:(1)成本低廉并能對(duì)一氧化碳準(zhǔn)確報(bào)警。(

7、2)該產(chǎn)品不需專業(yè)人員操作,只要放在合適位置,通電即可,連續(xù)使用方便,操作簡單。(3)能起到預(yù)防一氧化碳中毒的效果,使人們安全放心的工作。(4)出現(xiàn)一氧化碳漏或者著火時(shí),報(bào)警器能夠立即鳴笛報(bào)警,告之工作人員及時(shí)采取措施。 第二章 設(shè)計(jì)方案第一節(jié) 單片機(jī)的介紹和選用單片機(jī)是一種集成在電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器cpu隨機(jī)存儲(chǔ)器ram、只讀存儲(chǔ)器rom、多種i/o口和中斷系統(tǒng)、定時(shí)器/計(jì)時(shí)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、a/d轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的計(jì)算機(jī)系統(tǒng),目前單片機(jī)滲透到我們生活的各個(gè)領(lǐng)域,幾乎很

8、難找到哪個(gè)領(lǐng)域沒有單片機(jī)的蹤跡。導(dǎo)彈的導(dǎo)航裝置,飛機(jī)上各種儀表的控制,計(jì)算機(jī)的網(wǎng)絡(luò)通訊與數(shù)據(jù)傳輸,工業(yè)自動(dòng)化過程的實(shí)時(shí)控制和數(shù)據(jù)處理,廣泛使用的各種智能ic卡,民用豪華轎車的安全保障系統(tǒng),錄像機(jī)、攝像機(jī)、全自動(dòng)洗衣機(jī)的控制,以及程控玩具、電子寵物等等,這些都離不開單片機(jī),更不用說自動(dòng)控制領(lǐng)域的機(jī)器人、智能儀表以及各種智能機(jī)械了。因此,單片機(jī)的學(xué)習(xí)、開發(fā)與應(yīng)用將造就一批計(jì)算機(jī)應(yīng)用與智能化控制的科學(xué)家、工程師。設(shè)計(jì)選用atmel公司的at89c51和美國國家半導(dǎo)體公司生產(chǎn)的adc080。第二節(jié) 設(shè)計(jì)要求及思路設(shè)計(jì)要求:報(bào)警器需在一氧化碳濃度達(dá)到100ppm時(shí)啟動(dòng)報(bào)警。具體實(shí)現(xiàn)如下功能:(1)系統(tǒng)要

9、求設(shè)置正常工作狀態(tài)除正常工作狀態(tài)外,led紅燈處于熄滅狀態(tài),蜂鳴器處于關(guān)閉狀態(tài)。(2)在正常工作狀態(tài)下,綠燈應(yīng)長亮。當(dāng)室內(nèi)一氧化碳濃度達(dá)到100ppm時(shí)系統(tǒng)應(yīng)啟動(dòng)蜂鳴器報(bào)警,紅燈閃爍。設(shè)計(jì)思路:采用單個(gè)傳感器檢測(cè)氣體濃度,將檢測(cè)結(jié)果通過高精度運(yùn)算放大器放大后送入模/數(shù)轉(zhuǎn)換芯片中進(jìn)行轉(zhuǎn)換,傳給單片機(jī)進(jìn)行數(shù)據(jù)處理。處理后的信息將通過單片機(jī)控制,驅(qū)動(dòng)報(bào)警。第三節(jié) 初始方案與確定(1)初始設(shè)計(jì)以設(shè)計(jì)思路展開研究:根據(jù)該設(shè)計(jì)要實(shí)現(xiàn)的基本功能,設(shè)計(jì)大概應(yīng)該分為信號(hào)接收,信號(hào)處理,信號(hào)控制和信號(hào)響應(yīng)四個(gè)部分。 a.信號(hào)采集接收部分即通過檢測(cè)一氧化碳?xì)怏w濃度,并將這種變化量轉(zhuǎn)換成電壓或者電流等模擬量的變化 b

10、.信號(hào)處理部分是將接收部分得到的電壓或電流等變化進(jìn)行必要放大,為后一部分信號(hào)控制提供準(zhǔn)備。 c.信號(hào)控制部分是通過預(yù)定控制方式等實(shí)現(xiàn)對(duì)設(shè)計(jì)要求的準(zhǔn)確操作。 d.信號(hào)響應(yīng)是通過事故處理部分和顯示部分實(shí)現(xiàn)控制部分的要求。 (2)對(duì)上述四個(gè)部分進(jìn)行分析,得到如下一些基本的結(jié)論: a.信號(hào)接收部分為了能準(zhǔn)且采集到氣體濃度的變化應(yīng)選用傳感器敏感器件,為使其有效部分的檢測(cè)房間中氣體濃度,必須選用高溫一氧化碳傳感器。 b.信號(hào)處理部分應(yīng)該根據(jù)實(shí)際情況選用電荷放大,或比較器等裝置,這部分電路將包含在傳感器接口電路中。 c.控制部分為了實(shí)現(xiàn)精確控制,采用單片機(jī)較為合適。 d.信號(hào)響應(yīng)及報(bào)警部分,用蜂鳴器和led

11、燈即可。根據(jù)對(duì)上面設(shè)計(jì)系統(tǒng)的分析,我們得到該設(shè)計(jì)思想框圖如下圖2.1所示:信 號(hào)響 應(yīng)裝 置信 號(hào)控 制裝 置信 號(hào)處 理裝 置信 號(hào)接 收裝 置 圖2.1 設(shè)計(jì)思想框圖(2)方案確定經(jīng)過分析采用初始方案設(shè)計(jì),即用單個(gè)傳感器檢測(cè)一氧化碳?xì)怏w濃度,將檢測(cè)到的濃度結(jié)果通過運(yùn)算放大器放大后送入模/數(shù)芯片adc0809中進(jìn)行模數(shù)轉(zhuǎn)換,傳入單片機(jī)中,由at89c51單片機(jī)處理數(shù)據(jù),并利用單片機(jī)控制報(bào)警器進(jìn)行聲音報(bào)警。分析:此設(shè)計(jì)十分簡單,也十分實(shí)用。雖然對(duì)氣體濃度的采集不是很精確,但報(bào)警方面已經(jīng)十分符合設(shè)計(jì)目的。第四節(jié) 系統(tǒng)組成本設(shè)計(jì)屬于單片機(jī)應(yīng)用系統(tǒng)。單片機(jī)在系統(tǒng)檢測(cè)以及工程控制方面的應(yīng)用,是典型的嵌

12、入式系統(tǒng)。通常將滿足海量高速數(shù)據(jù)計(jì)算的計(jì)算機(jī)稱為通用計(jì)算機(jī)系統(tǒng);而把面向工控領(lǐng)域?qū)ο?,嵌入到工控系統(tǒng)中,實(shí)現(xiàn)嵌入式應(yīng)用的計(jì)算機(jī)稱之為嵌入式計(jì)算機(jī)系統(tǒng),簡稱嵌入式系統(tǒng)。嵌入式系統(tǒng)分為四種:工控機(jī),通用cpu模塊,嵌入式微處理,單片機(jī)。嵌入式系統(tǒng)具有以下特點(diǎn):(1)面對(duì)控制對(duì)象。如傳感器信號(hào)輸入、人機(jī)交互操作、伺服驅(qū)動(dòng)等。(2)嵌入到工控應(yīng)用系統(tǒng)中的結(jié)構(gòu)形態(tài)。(3)能在工業(yè)現(xiàn)場(chǎng)環(huán)境中可靠運(yùn)行的品質(zhì)。(4)突出控制功能。如對(duì)外部信息的捕捉、對(duì)控制對(duì)象實(shí)時(shí)控制和有突出控制功能的指令系統(tǒng)(i/o控制、位操作和轉(zhuǎn)移指令等)。單片機(jī)有唯一的專門為嵌入式應(yīng)用系統(tǒng)設(shè)計(jì)的體系結(jié)構(gòu)與指令系統(tǒng),最能滿足嵌入式應(yīng)用要求

13、。單片機(jī)是完全按嵌入式系統(tǒng)要求設(shè)計(jì)的單芯片形態(tài)應(yīng)用系統(tǒng),能滿足面對(duì)控制對(duì)象、應(yīng)用系統(tǒng)的嵌入、現(xiàn)場(chǎng)的可靠運(yùn)行及非凡的控制品質(zhì)等要求,是發(fā)展最快、品種最多、數(shù)量最大的嵌入式系統(tǒng)。第五節(jié) 一氧化碳報(bào)警器系統(tǒng)的三大部分單片機(jī)應(yīng)用系統(tǒng)的結(jié)構(gòu)分三個(gè)層次。(1)單片機(jī):通常指應(yīng)用系統(tǒng)主處理機(jī),即所選擇的單片機(jī)器件。(2)單片機(jī)系統(tǒng):指按照單片機(jī)的技術(shù)要求和嵌入對(duì)象的資源要求而構(gòu)成的基本系統(tǒng),如時(shí)鐘電路、復(fù)位電路和擴(kuò)展存儲(chǔ)器等與單片機(jī)構(gòu)成了單片機(jī)系統(tǒng)。(3)單片機(jī)應(yīng)用系統(tǒng):能滿足嵌入對(duì)象要求的全部電路系統(tǒng)。在單片機(jī)系統(tǒng)的基礎(chǔ)上加上面向?qū)ο蟮慕涌陔娐?,如前向通道、后向通道、人機(jī)交互通道(鍵盤、顯示器、打印機(jī)等)

14、和串行通行口(rs232)以及應(yīng)用程序等。(單片機(jī)應(yīng)用系統(tǒng)三個(gè)層次的關(guān)系如圖2.2:單片機(jī)應(yīng)用系統(tǒng)應(yīng)用程序單片機(jī)系統(tǒng)后向通道前向通道單片機(jī)人機(jī)交互通道串行通信口圖2.2 單片機(jī)應(yīng)用系統(tǒng)三個(gè)層次的關(guān)系以此理解,一氧化碳報(bào)警器同樣具有單片機(jī)應(yīng)用系統(tǒng)的三個(gè)層次。其中以at89c51單片機(jī)為核心構(gòu)成單片機(jī)系統(tǒng)。在此系統(tǒng)中,檢測(cè)信號(hào)進(jìn)入單片機(jī)進(jìn)行運(yùn)算處理。為了更好的理清設(shè)計(jì)思路,將整個(gè)系統(tǒng)細(xì)分為三部分加以設(shè)計(jì)說明。整個(gè)報(bào)警器由三個(gè)部分組成,分為三大模塊:濃度檢測(cè)模塊、主控模塊和報(bào)警模塊。在本次設(shè)計(jì)中,使用的核心器件是單片機(jī)和一氧化碳傳感器。為了保重整個(gè)系統(tǒng)可靠的運(yùn)行,設(shè)計(jì)中必須明確三大部分的實(shí)際聯(lián)系:以

15、單片機(jī)為中心,其他各大模塊一一展開。其中,濃度檢測(cè)及顯示模塊所實(shí)現(xiàn)的功能是將房間中的一氧化碳濃度值轉(zhuǎn)換成為單片機(jī)能夠處理的數(shù)字信號(hào),并且濃度值顯示出來:主控模塊以單片機(jī)為主,對(duì)其他模塊的運(yùn)行進(jìn)行控制;報(bào)警模塊是此系統(tǒng)的外部電路,它的功能是實(shí)現(xiàn)報(bào)警。系統(tǒng)框圖如圖2.3所示。at89c51氣體濃度檢測(cè)報(bào)警控制圖2.3 一氧化碳報(bào)警器系統(tǒng)組成框圖下面就對(duì)各個(gè)模塊的功能和實(shí)現(xiàn)形式做簡單介紹(1)氣體濃度檢測(cè)模塊一氧化碳報(bào)警器主要采用高穩(wěn)定一氧化碳?xì)怏w傳感器mq-7檢測(cè)房間氣體濃度,檢測(cè)結(jié)果通過高精度運(yùn)算放大器放大后送入模/數(shù)轉(zhuǎn)換芯片adc0809中進(jìn)行轉(zhuǎn)換(2)主控模塊系統(tǒng)采用單片機(jī)控制,用的是at8

16、9c51單片機(jī),at89c51單片機(jī)是美國intel公司推出的一種4k字節(jié)可編程flash存儲(chǔ)器,低電壓、高性能cmos 8位微處理器。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除1000次,數(shù)據(jù)可保留10年。它的主要功能既是和adc0809芯片一起共同接受檢測(cè)信號(hào),又可以通過對(duì)數(shù)字型號(hào)的處理來控制外圍電路以及顯示電路。模數(shù)轉(zhuǎn)換芯片采用adc0809,接收經(jīng)過運(yùn)算放大器處理后的一氧化碳傳感器的檢測(cè)值,檢測(cè)結(jié)果通過adc0809處理后才傳給單片機(jī)進(jìn)行數(shù)據(jù)處理。處理后的信息將通過單片機(jī)控制,以驅(qū)動(dòng)報(bào)警。(3)報(bào)警模塊此模塊主要有蜂鳴器、led燈組成,在氣體濃度過大,超過安全值時(shí),蜂鳴器工作,提供報(bào)警服務(wù)。

17、至此,本系統(tǒng)的三大模塊功能和設(shè)計(jì)思路已經(jīng)確立,下文將介紹整個(gè)系統(tǒng)的詳細(xì)設(shè)計(jì)過程。并且給出設(shè)計(jì)電路。 第二章 硬件電路設(shè)計(jì) 第一節(jié) 單片機(jī)基礎(chǔ)知識(shí)簡介介紹及主控電路設(shè)計(jì)在實(shí)際的應(yīng)用中,基本知識(shí)的掌握程度至關(guān)重要,他影響到應(yīng)用的好壞。硬件知識(shí)用來設(shè)計(jì)硬件電路,軟件知識(shí)用來設(shè)計(jì)芯片處理數(shù)據(jù)的先后順序,數(shù)據(jù)的獲得途徑以及對(duì)數(shù)據(jù)做怎樣的處理,還有其他的一些驅(qū)動(dòng)和顯示功能等等。本設(shè)計(jì)用到的硬件知識(shí)主要有:電子技術(shù)、單片機(jī)技術(shù)。在電子技術(shù)方面分為模擬電子技術(shù)和數(shù)字電子技術(shù),模擬電子技術(shù)主要用來放大傳感器檢測(cè)信號(hào)和驅(qū)動(dòng)反光二極管以及顯示穿管器檢測(cè)氣體濃度;數(shù)字電子技術(shù)用來把模擬量轉(zhuǎn)換成數(shù)字量,把從剛起檢測(cè)到的

18、模擬量轉(zhuǎn)換成數(shù)字值。利用單片機(jī)實(shí)現(xiàn)綜合控制。主控電路中,以單片機(jī)為主體,通過分析a/d轉(zhuǎn)換的得到的數(shù)字值,控制事故處理模塊運(yùn)行。設(shè)計(jì)采用的是at89c51型單片機(jī),at89c51是一種帶4k字節(jié)閃存可編程可擦除只讀存儲(chǔ)器的低電壓、高性能cmos 8位微處理器,俗稱單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除1000次。由于將多功能8位cpu和閃爍存儲(chǔ)器組合在單個(gè)芯片中,atmel的at89c51是一種高效微控制器,at89c2051是它的一種精簡版本。at89c單片機(jī)為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。外形及引腳排列如圖3.1所示圖3.1 單片機(jī)外形及引腳排列圖(1)主要特性:

19、與mcs-51 兼容 4k字節(jié)可編程閃爍存儲(chǔ)器 壽命:1000寫/擦循環(huán) 數(shù)據(jù)保留時(shí)間:10年 全靜態(tài)工作:0hz-24mhz 三級(jí)程序存儲(chǔ)器鎖定 1288位內(nèi)部ram 32可編程i/o線 兩個(gè)16位定時(shí)器/計(jì)數(shù)器 5個(gè)中斷源 可編程串行通道 低功耗的閑置和掉電模式 片內(nèi)振蕩器和時(shí)鐘電路(2)管腳說明:vcc:供電電壓。 gnd:接地。 p0口:p0口為一個(gè)8位漏級(jí)開路雙向i/o口,每腳可吸收8ttl門電流。當(dāng)p0口的管腳第一次寫1時(shí),被定義為高阻輸入。p0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在fiash編程時(shí),p0 口作為原碼輸入口,當(dāng)fiash進(jìn)行校驗(yàn)時(shí),p0輸出

20、原碼,此時(shí)p0外部必須被拉高。 p1口:p1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向i/o口,p1口緩沖器能接收輸出4ttl門電流。p1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,p1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在flash編程和校驗(yàn)時(shí),p1口作為第八位地址接收。 p2口:p2口為一個(gè)內(nèi)部上拉電阻的8位雙向i/o口,p2口緩沖器可接收,輸出4個(gè)ttl門電流,當(dāng)p2口被寫“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),p2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。p2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),p2口輸出地

21、址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),p2口輸出其特殊功能寄存器的內(nèi)容。p2口在flash編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。 p3口:p3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向i/o口,可接收輸出4個(gè)ttl門電流。當(dāng)p3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,p3口將輸出電流(ill)這是由于上拉的緣故。 p3口也可作為at89c51的一些特殊功能口,如下表所示:口管腳 備選功能 p3.0 rxd(串行輸入口) p3.1 txd(串行輸出口) p3.2 /int0(外部中斷0) p3.3 /int

22、1(外部中斷1) p3.4 t0(記時(shí)器0外部輸入) p3.5 t1(記時(shí)器1外部輸入) p3.6 /wr(外部數(shù)據(jù)存儲(chǔ)器寫選通) p3.7 /rd(外部數(shù)據(jù)存儲(chǔ)器讀選通) p3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。 rst:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持rst腳兩個(gè)機(jī)器周期的高電平時(shí)間。 ale/prog:當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在flash編程期間,此引腳用于輸入編程脈沖。在平時(shí),ale端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳

23、過一個(gè)ale脈沖。如想禁止ale的輸出可在sfr8eh地址上置0。此時(shí), ale只有在執(zhí)行movx,movc指令是ale才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ale禁止,置位無效。 psen:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/psen有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/psen信號(hào)將不出現(xiàn)。ea/vpp:當(dāng)/ea保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000h-ffffh),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/ea將內(nèi)部鎖定為reset;當(dāng)/ea端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在flash編程期間,此引腳也用

24、于施加12v編程電源(vpp)。xtal1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。 xtal2:來自反向振蕩器的輸出。 (3)振蕩器特性:xtal1和xtal2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,xtal2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。 (4)芯片擦除:整個(gè)perom陣列和三個(gè)鎖定位的電擦除可通過正確的控制信號(hào)組合,并保持ale管腳處于低電平10ms 來完成。在芯片擦操作中,代碼陣列全被寫“1”且在任何非空存儲(chǔ)

25、字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。 此外,at89c51設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式。在閑置模式下,cpu停止工作。但ram,定時(shí)器,計(jì)數(shù)器,串口和中斷系統(tǒng)仍在工作。在掉電模式下,保存ram的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個(gè)硬件復(fù)位為止。 (5)串口通訊:單片機(jī)的結(jié)構(gòu)和特殊寄存器,這是你編寫軟件的關(guān)鍵。至于串口通信需要用到那些特殊功能寄存器呢,它們是scon,tcon,tmod,scon等,各代表什么含義呢? sbuf 數(shù)據(jù)緩沖寄存器這是一個(gè)可以直接尋址的串行口專用寄存器。有朋友這樣問起過“為何在串行口收發(fā)中,都只是使用到同

26、一個(gè)寄存器sbuf?而不是收發(fā)各用一個(gè)寄存器。”實(shí)際上sbuf 包含了兩個(gè)獨(dú)立的寄存器,一個(gè)是發(fā)送寄存,另一個(gè)是接收寄存器,但它們都共同使用同一個(gè)尋址地址99h。cpu 在讀sbuf 時(shí)會(huì)指到接收寄存器,在寫時(shí)會(huì)指到發(fā)送寄存器,而且接收寄存器是雙緩沖寄存器,這樣可以避免接收中斷沒有及時(shí)的被響應(yīng),數(shù)據(jù)沒有被取走,下一幀數(shù)據(jù)已到來,而造成的數(shù)據(jù)重疊問題。發(fā)送器則不需要用到雙緩沖,一般情況下我們?cè)趯懓l(fā)送程序時(shí)也不必用到發(fā)送中斷去外理發(fā)送數(shù)據(jù)。操作sbuf寄存器的方法則很簡單,只要把這個(gè)99h 地址用關(guān)鍵字sfr定義為一個(gè)變量就可以對(duì)其進(jìn)行讀寫操作了,如sfr sbuf = 0x99;當(dāng)然你也可以用其

27、它的名稱。通常在標(biāo)準(zhǔn)的reg51.h 或at89x51.h 等頭文件中已對(duì)其做了定義,只要用#include 引用就可以了。 scon 串行口控制寄存器通常在芯片或設(shè)備中為了監(jiān)視或控制接口狀態(tài),都會(huì)引用到接口控制寄存器。scon 就是51 芯片的串行口控制寄存器。它的尋址地址是98h,是一個(gè)可以位尋址的寄存器,作用就是監(jiān)視和控制51 芯片串行口的工作狀態(tài)。51 芯片的串口可以工作在幾個(gè)不同的工作模式下,其工作模式的設(shè)置就是使用scon 寄存器。它的各個(gè)位的具體定義如下: sm0 sm1 sm2 ren tb8 rb8 ti ri sm0、sm1 為串行口工作模式設(shè)置位,這樣兩位可以對(duì)應(yīng)進(jìn)行四種

28、模式的設(shè)置。串行口工作模式設(shè)置。 sm0 sm1 模式 功能 波特率 0 0 0 同步移位寄存器 fosc/12 0 1 1 8位uart 可變 1 0 2 9位uart fosc/32 或fosc/64 1 1 3 9位uart 可變 在這里只說明最常用的模式1,其它的模式也就一一略過,有興趣的朋友可以找相關(guān)的硬件資料查看。表中的fosc 代表振蕩器的頻率,也就是晶振的頻率。uart 為(universal asynchronous receiver)的英文縮寫。 sm2 在模式2、模式3 中為多處理機(jī)通信使能位。在模式0 中要求該位為0。 rem 為允許接收位,rem 置1 時(shí)串口允許接收

29、,置0 時(shí)禁止接收。rem 是由軟件置位或清零。如果在一個(gè)電路中接收和發(fā)送引腳p3.0,p3.1 都和上位機(jī)相連,在軟件上有串口中斷處理程序,當(dāng)要求在處理某個(gè)子程序時(shí)不允許串口被上位機(jī)來的控制字符產(chǎn)生中斷,那么可以在這個(gè)子程序的開始處加入rem=0 來禁止接收,在子程序結(jié)束處加入rem=1 再次打開串口接收。大家也可以用上面的實(shí)際源碼加入rem=0 來進(jìn)行實(shí)驗(yàn)。 tb8 發(fā)送數(shù)據(jù)位8,在模式2 和3 是要發(fā)送的第9 位。該位可以用軟件根據(jù)需要置位或清除,通常這位在通信協(xié)議中做奇偶位,在多處理機(jī)通信中這一位則用于表示是地址幀還是數(shù)據(jù)幀。 rb8 接收數(shù)據(jù)位8,在模式2 和3 是已接收數(shù)據(jù)的第9

30、位。該位可能是奇偶位,地址/數(shù)據(jù)標(biāo)識(shí)位。在模式0 中,rb8 為保留位沒有被使用。在模式1 中,當(dāng)sm2=0,rb8 是已接收數(shù)據(jù)的停止位。 ti 發(fā)送中斷標(biāo)識(shí)位。在模式0,發(fā)送完第8 位數(shù)據(jù)時(shí),由硬件置位。其它模式中則是在發(fā)送停止位之初,由硬件置位。ti 置位后,申請(qǐng)中斷,cpu 響應(yīng)中斷后,發(fā)送下一幀數(shù)據(jù)。在任何模式下,ti 都必須由軟件來清除,也就是說在數(shù)據(jù)寫入到sbuf 后,硬件發(fā)送數(shù)據(jù),中斷響應(yīng)(如中斷打開),這時(shí)ti=1,表明發(fā)送已完成,ti 不會(huì)由硬件清除,所以這時(shí)必須用軟件對(duì)其清零。 ri 接收中斷標(biāo)識(shí)位。在模式0,接收第8 位結(jié)束時(shí),由硬件置位。其它模式中則是在接收停止位的半

31、中間,由硬件置位。ri=1,申請(qǐng)中斷,要求cpu 取走數(shù)據(jù)。但在模式1 中,sm2=1時(shí),當(dāng)未收到有效的停止位,則不會(huì)對(duì)ri 置位。同樣ri 也必須要靠軟件清除。常用的串口模式1 是傳輸10 個(gè)位的,1 位起始位為0,8 位數(shù)據(jù)位,低位在先,1 位停止位為1。它的波特率是可變的,其速率是取決于定時(shí)器1 或定時(shí)器2 的定時(shí)值(溢出速率)。at89c51 和at89c2051 等51 系列芯片只有兩個(gè)定時(shí)器,定時(shí)器0 和定時(shí)器1,而定時(shí)器2是89c52 系列芯片才有的。 波特率在使用串口做通訊時(shí),一個(gè)很重要的參數(shù)就是波特率,只有上下位機(jī)的波特率一樣時(shí)才可以進(jìn)行正常通訊。波特率是指串行端口每秒內(nèi)可以

32、傳輸?shù)牟ㄌ匚粩?shù)。有一些初學(xué)的朋友認(rèn)為波特率是指每秒傳輸?shù)淖止?jié)數(shù),如標(biāo)準(zhǔn)9600 會(huì)被誤認(rèn)為每秒種可以傳送9600個(gè)字節(jié),而實(shí)際上它是指每秒可以傳送9600 個(gè)二進(jìn)位,而一個(gè)字節(jié)要8 個(gè)二進(jìn)位,如用串口模式1 來傳輸那么加上起始位和停止位,每個(gè)數(shù)據(jù)字節(jié)就要占用10 個(gè)二進(jìn)位,9600 波特率用模式1 傳輸時(shí),每秒傳輸?shù)淖止?jié)數(shù)是960010960 字節(jié)。51 芯片的串口工作模式0的波特率是固定的,為fosc/12,以一個(gè)12m 的晶振來計(jì)算,那么它的波特率可以達(dá)到1m。模式2 的波特率是固定在fosc/64 或fosc/32,具體用那一種就取決于pcon 寄存器中的smod位,如smod 為0,波

33、特率為focs/64,smod 為1,波特率為focs/32。模式1 和模式3 的波特率是可變的,取決于定時(shí)器1 或2(52 芯片)的溢出速率。那么我們?cè)趺慈ビ?jì)算這兩個(gè)模 式的波特率設(shè)置時(shí)相關(guān)的寄存器的值呢?可以用以下的公式去計(jì)算。 波特率(2smod32)定時(shí)器1 溢出速率 上式中如設(shè)置了pcon 寄存器中的smod 位為1 時(shí)就可以把波特率提升2 倍。通常會(huì)使用定時(shí)器1 工作在定時(shí)器工作模式2 下,這時(shí)定時(shí)值中的tl1 做為計(jì)數(shù),th1 做為自動(dòng)重裝值 ,這個(gè)定時(shí)模式下,定時(shí)器溢出后,th1 的值會(huì)自動(dòng)裝載到tl1,再次開始計(jì)數(shù),這樣可以不用軟件去干預(yù),使得定時(shí)更準(zhǔn)確。在這個(gè)定時(shí)模式2 下

34、定時(shí)器1 溢出速率的計(jì)算公式如下: 溢出速率(計(jì)數(shù)速率)/(256th1) 上式中的“計(jì)數(shù)速率”與所使用的晶體振蕩器頻率有關(guān),在51 芯片中定時(shí)器啟動(dòng)后會(huì)在每一個(gè)機(jī)器周期使定時(shí)寄存器th 的值增加一,一個(gè)機(jī)器周期等于十二個(gè)振蕩周期,所以可以得知51 芯片的計(jì)數(shù)速率為晶體振蕩器頻率的1/12,一個(gè)12m 的晶振用在51 芯片上,那么51 的計(jì)數(shù)速率就為1m。通常用11.0592m 晶體是為了得到標(biāo)準(zhǔn)的無誤差的波特率,那么為何呢?計(jì)算一下就知道了。如我們要得到9600 的波特率,晶振為11.0592m 和12m,定時(shí)器1 為模式2,smod 設(shè)為1,分別看看那所要求的th1 為何值。代入公式: 1

35、1.0592m 9600(232)(11.0592m/12)/(256-th1) th1250 12m 9600(232)(12m/12)/(256-th1) th1249.49 上面的計(jì)算可以看出使用12m 晶體的時(shí)候計(jì)算出來的th1 不為整數(shù),而th1 的值只能取整數(shù),這樣它就會(huì)有一定的誤差存在不能產(chǎn)生精確的9600 波特率。當(dāng)然一定的誤差是可以在使用中被接受的,就算使用11.0592m 的晶體振蕩器也會(huì)因晶體本身所存在的誤差使波特率產(chǎn)生誤差,但晶體本身的誤差對(duì)波特率的影響是十分之小的,可以忽略不計(jì)。 第二節(jié) 模數(shù)轉(zhuǎn)換部分電路設(shè)計(jì)模數(shù)轉(zhuǎn)換部分采用adc0809芯片。adc0809是m美國國

36、家半導(dǎo)體公司生產(chǎn)的cmos工藝8通道,8位逐次逼近式a/d轉(zhuǎn)換器。其內(nèi)部有一個(gè)8通道多路開關(guān),它可以根據(jù)地址碼鎖存譯碼后的信號(hào),只選通8路模擬輸入信號(hào)中的一個(gè)進(jìn)行a/d轉(zhuǎn)換。是目前國內(nèi)應(yīng)用最廣泛的8位通用a/d芯片。(1)主要特性:(1)8路輸入通道,8位ad轉(zhuǎn)換器,即分辨率為8位。 (2)具有轉(zhuǎn)換起??刂贫恕?(3)轉(zhuǎn)換時(shí)間為100s(時(shí)鐘為640khz時(shí)),130s(時(shí)鐘為500khz時(shí)) (4)單個(gè)5v電源供電 (5)模擬輸入電壓范圍05v,不需零點(diǎn)和滿刻度校準(zhǔn)。 (6)工作溫度范圍為-4085攝氏度 (7)低功耗,約15mw。(2)內(nèi)部結(jié)構(gòu):adc0809是cmos單片型逐次逼近式ad

37、轉(zhuǎn)換器,內(nèi)部結(jié)構(gòu)如圖1322所示,它由8路模擬開關(guān)、地址鎖存與譯碼器、比較器、8位開關(guān)樹型a/d轉(zhuǎn)換器、逐次逼近 。(3)外部特性(引腳功能):adc0809芯片有28條引腳,采用雙列直插式封裝,如圖1323所示。下面說明各引腳功能。 in0in7:8路模擬量輸入端。 2-12-8:8位數(shù)字量輸出端。 adda、addb、addc:3位地址輸入線,用于選通8路模擬輸入中的一路 ale:地址鎖存允許信號(hào),輸入,高電平有效。 start: ad轉(zhuǎn)換啟動(dòng)脈沖輸入端,輸入一個(gè)正脈沖(至少100ns寬)使其啟動(dòng)(脈沖上升沿使0809復(fù)位,下降沿啟動(dòng)a/d轉(zhuǎn)換)。 eoc: ad轉(zhuǎn)換結(jié)束信號(hào),輸出,當(dāng)ad

38、轉(zhuǎn)換結(jié)束時(shí),此端輸出一個(gè)高電平(轉(zhuǎn)換期間一直為低電平)。 oe:數(shù)據(jù)輸出允許信號(hào),輸入,高電平有效。當(dāng)ad轉(zhuǎn)換結(jié)束時(shí),此端輸入一個(gè)高電平,才能打開輸出三態(tài)門,輸出數(shù)字量。 clk:時(shí)鐘脈沖輸入端。要求時(shí)鐘頻率不高于640khz。 ref(+)、ref(-):基準(zhǔn)電壓。 vcc:電源,單一5v。 gnd:地。其內(nèi)部結(jié)構(gòu)及引腳圖如圖3.2所示 圖3.2 adc0809內(nèi)部圖及引腳圖(4)adc0809的工作過程:首先輸入3位地址,并使ale=1,將地址存入地址鎖存器中。此地址經(jīng)譯碼選通8路模擬輸入之一到比較器。start上升沿將逐次逼近寄存器復(fù)位。下降沿啟動(dòng) ad轉(zhuǎn)換,之后eoc輸出信號(hào)變低,指示

39、轉(zhuǎn)換正在進(jìn)行。直到ad轉(zhuǎn)換完成,eoc變?yōu)楦唠娖剑甘綼d轉(zhuǎn)換結(jié)束,結(jié)果數(shù)據(jù)已存入鎖存器,這個(gè)信號(hào)可用作中斷申請(qǐng)。當(dāng)oe輸入高電平 時(shí),輸出三態(tài)門打開,轉(zhuǎn)換結(jié)果的數(shù)字量輸出到數(shù)據(jù)總線上。 轉(zhuǎn)換數(shù)據(jù)的傳送 a/d轉(zhuǎn)換后得到的數(shù)據(jù)應(yīng)及時(shí)傳送給單片機(jī)進(jìn)行處理。數(shù)據(jù)傳送的關(guān)鍵問題是如何確認(rèn)a/d轉(zhuǎn)換的完成,因?yàn)橹挥写_認(rèn)完成后,才能進(jìn)行傳送。為此可采用下述三種方式。 (1)定時(shí)傳送方式 對(duì)于一種a/d轉(zhuǎn)換其來說,轉(zhuǎn)換時(shí)間作為一項(xiàng)技術(shù)指標(biāo)是已知的和固定的。例如adc0809轉(zhuǎn)換時(shí)間為128s,相當(dāng)于6mhz的mcs-51單片機(jī)共64個(gè)機(jī)器周期??蓳?jù)此設(shè)計(jì)一個(gè)延時(shí)子程序,a/d轉(zhuǎn)換啟動(dòng)后即調(diào)用此子程序,延遲

40、時(shí)間一到,轉(zhuǎn)換肯定已經(jīng)完成了,接著就可進(jìn)行數(shù)據(jù)傳送。 (2)查詢方式 a/d轉(zhuǎn)換芯片由表明轉(zhuǎn)換完成的狀態(tài)信號(hào),例如adc0809的eoc端。因此可以用查詢方式,測(cè)試eoc的狀態(tài),即可確認(rèn)轉(zhuǎn)換是否完成,并接著進(jìn)行數(shù)據(jù)傳送。 (3)中斷方式 把表明轉(zhuǎn)換完成的狀態(tài)信號(hào)(eoc)作為中斷請(qǐng)求信號(hào),以中斷方式進(jìn)行數(shù)據(jù)傳送。不管使用上述那種方式,只要一旦確定轉(zhuǎn)換完成,即可通過指令進(jìn)行數(shù)據(jù)傳送。首先送出口地址并以信號(hào)有效時(shí),oe信號(hào)即有效,把轉(zhuǎn)換數(shù)據(jù)送上數(shù)據(jù)總線,供單片機(jī)接受。(5)adc0809與單片機(jī)連接方式如圖3.3:圖3.3 adc0809與單片機(jī)連接方式 第三節(jié) 傳感器部分電路設(shè)計(jì)(1)傳感器的定

41、義人們通常將能把非電量轉(zhuǎn)換成電量的器件稱為傳感器,傳感器實(shí)質(zhì)是一種功能模塊,起作用是將來之外界的各種信號(hào)轉(zhuǎn)換成電信號(hào):它是實(shí)現(xiàn)測(cè)試與自動(dòng)控制系統(tǒng)的首要環(huán)節(jié)。(2)傳感器的作用傳感器是又稱之為電五官,是獲取自然和生產(chǎn)領(lǐng)域中信息的主要途徑與手段。在現(xiàn)代工業(yè)生產(chǎn)尤其是自動(dòng)化生產(chǎn)過程中,要用各種傳感器來監(jiān)視和控制生產(chǎn)過程中的各個(gè)參數(shù),使設(shè)備工作在正常狀態(tài)或最佳狀態(tài),并使產(chǎn)品達(dá)到最好的質(zhì)量。沒有眾多的優(yōu)良的傳感器,現(xiàn)代化生產(chǎn)也就失去了基礎(chǔ)。傳感器技術(shù)在發(fā)展經(jīng)濟(jì)、推動(dòng)社會(huì)進(jìn)步方面的重要作用,是十分明顯的。世界各國都十分重視這一領(lǐng)域的發(fā)展。相信不久的將來,傳感器技術(shù)將會(huì)出現(xiàn)一個(gè)飛躍,達(dá)到與其重要地位相稱的新

42、水平。(3)傳感器的組成 傳感器一般由敏感元件、傳感元件和測(cè)量電路三部分組成有時(shí)還加上輔助電源。通??捎梅娇驁D表示,如下圖3.4所示:敏感元件測(cè)量電路傳感元件被測(cè)量敏感元件圖3.5 方框圖敏感元件直接感受被測(cè)量,并輸出與被測(cè)量成確定關(guān)系的其他量的元件。傳感元件又稱變換器,傳感元件可以直接感受被測(cè)量而輸出與被測(cè)量成確定關(guān)系的電量。也可以不直接感受被測(cè)量,而只感受與被測(cè)量成確定關(guān)系的其他非電量。測(cè)量電路能把傳感元件輸出的電信號(hào)轉(zhuǎn)換為便于顯示、記錄、控制和處理的有用電信號(hào)的電路。使用較多的是電橋電路,也是用其他特殊電路,如高阻抗輸入電路、脈沖調(diào)寬電路、維持震蕩的激振電路等。由于傳感元件的輸出信號(hào)一般

43、比較小,為了便于與顯示和記錄,大多數(shù)測(cè)量電路還包括了放大器。(4)傳感器的分類1.根據(jù)傳感器工作原理,可分為物理傳感器和化學(xué)傳感器二大類 : a.物理傳感器應(yīng)用的是物理效應(yīng),諸如壓電效應(yīng),磁致拉伸現(xiàn)象,離化、極化、熱電、光電、磁電等效應(yīng)。被測(cè)信號(hào)量的微小變化都將轉(zhuǎn)換成電信號(hào)。b.化學(xué)傳感器包括那些以化學(xué)吸附、電化學(xué)反應(yīng)等現(xiàn)象為因果關(guān)系的傳感器,被測(cè)信號(hào)量的微小變化也將轉(zhuǎn)換成電信號(hào)。有些傳感器既不能劃分到物理類,也不能劃分為化學(xué)類。大多數(shù)傳感器是以物理原理為基礎(chǔ)運(yùn)作的。2.按照其用途,傳感器可分類為: a.壓力敏和力敏傳感器,b.位置傳感器,c.液面?zhèn)鞲衅鳎琩.能耗傳感器,e.速度傳感器,f.加

44、速度傳感器,g.射線輻射傳感器,h.熱敏傳感器,i.24ghz雷達(dá)傳感器。 3.按照其原理,傳感器可分類為: a.振動(dòng)傳感器,b.濕敏傳感器,c.磁敏傳感器,d.氣敏傳感器,e.真空度傳感器f.生物傳感器等。 4.以其輸出信號(hào)為標(biāo)準(zhǔn)可將傳感器分為: a.模擬傳感器,b.數(shù)字傳感器,c.膺數(shù)字傳感器,d.開關(guān)傳感器。5.所應(yīng)用的材料觀點(diǎn)出發(fā)可將傳感器分成下列幾類: 按照其所用材料的類別分:金屬、聚合物、陶瓷、混合物 按材料的物理性質(zhì)分:導(dǎo)體、絕緣體、半導(dǎo)體、磁性材料 按材料的晶體結(jié)構(gòu)分:單晶、多晶、非晶材料 6.按照其制造工藝,可以將傳感器區(qū)分為: a.集成傳感器b.薄膜傳感器c.厚膜傳感器d.

45、陶瓷傳感器 每種工藝技術(shù)都有自己的優(yōu)點(diǎn)和不足。由于研究、開發(fā)和生產(chǎn)所需的資本投入較低,以及傳感器參數(shù)的高穩(wěn)定性等原因,采用陶瓷和厚膜傳感器比較合理。 (4)傳感器的設(shè)計(jì)要點(diǎn)根據(jù)以上對(duì)傳感器的相關(guān)知識(shí)的介紹,我們可以明確傳感器是測(cè)量、控制系統(tǒng)的,必須具備良好的性能。在設(shè)計(jì)中應(yīng)該注意以下要點(diǎn):輸入和輸出之間成比例,直線性好、靈敏度高、分辨力強(qiáng)、測(cè)量范圍寬。滯后、漂移誤差小動(dòng)態(tài)特性好功耗小時(shí)間老化特性優(yōu)良與被測(cè)體匹配良好,既不因接入傳感器而使得被測(cè)對(duì)象受到影響,受被測(cè)量之外的影響小。體積小、重量輕、價(jià)格低廉。故障率低,易于校準(zhǔn)和維護(hù)。由于傳感元件的輸出信號(hào)一邊比較小,為了便于能夠驅(qū)動(dòng)控制電路,在傳感

46、器電路中還應(yīng)該包括放大器。鑒于以上選擇要點(diǎn),本文中用到的傳感器必須具備良好的測(cè)量效果、功耗小、動(dòng)態(tài)特性良好和體積小、重量輕、價(jià)格低廉等幾個(gè)主要特性。為此我們選擇了mq-7系列傳感器。(5)傳感器與adc0809的連接檢測(cè)數(shù)據(jù)從in0口輸入,轉(zhuǎn)換完畢送給單片機(jī)p1口供單片機(jī)進(jìn)行數(shù)據(jù)處理。連接電路圖如圖3.6:圖3.6傳感器與adc0809連接電路圖 第四節(jié) 報(bào)警電路的設(shè)計(jì)報(bào)警電路采用了蜂鳴器以及放光二極管。在設(shè)計(jì)中,單片機(jī)的p2.0,p2.1,p2.2分別控制燈以及蜂鳴器,通過編程讓傳感器的數(shù)據(jù)和給定值進(jìn)行比較。如果大于則報(bào)警,即蜂鳴器鳴叫,發(fā)光二極管閃爍。電路圖如圖3.7所示圖3.7 報(bào)警器電

47、路圖 第三章 軟件設(shè)計(jì) 第一節(jié) 單片機(jī)編程本次設(shè)計(jì)用匯編語言編寫程序。由于程序十分簡單,所以用匯編語言能夠更直觀更便于理解。下面介紹一下匯編語言:匯編語言是面向機(jī)器的程序設(shè)計(jì)語言。在匯編語言中,用助記符代替操作碼,用地址符號(hào)或標(biāo)號(hào)代替地址碼。這樣用符號(hào)代替機(jī)器語言的二進(jìn)制碼,就把機(jī)器語言變成了匯編語言。于是匯編語言亦稱為符號(hào)語言。使用匯編語言編寫的程序,機(jī)器不能直接識(shí)別,要由一種程序?qū)R編語言翻譯成機(jī)器語言,這種起翻譯作用的程序叫匯編程序,匯編程序是系統(tǒng)軟件中語言處理系統(tǒng)軟件。匯編程序把匯編語言翻譯成機(jī)器語言的過程稱為匯編。 第二節(jié) 匯編語言概況匯編語言是一種功能很強(qiáng)的程序設(shè)計(jì)語言,也是利用計(jì)

48、算機(jī)所有硬件特性并能直接控制硬件的語言。匯編語言,作為一門語言 ,對(duì)應(yīng)于高級(jí)語言的編譯器,需要一個(gè)“匯編器”來把匯編語言原文件匯編成機(jī)器可執(zhí)行的代碼。高級(jí)的匯編器如masm,tasm等等為我們寫匯編程序提供了很多類似于高級(jí)語言的特征,比如結(jié)構(gòu)化、抽象等。在這樣的環(huán)境中編寫的匯編程序,有很大一部分是面向匯編器的偽指令,已經(jīng)類同于高級(jí)語言?,F(xiàn)在的匯編環(huán)境已經(jīng)如此高級(jí),即使全部用匯編語言來編寫windows的應(yīng)用程序也是可行的,但這不是匯編語言的長處。匯編語言的長處在于編寫高效且需要對(duì)機(jī)器硬件精確控制的程序。(1)匯編語言直接同計(jì)算機(jī)的底層軟件甚至硬件進(jìn)行交互,它具有如下一些優(yōu)點(diǎn): 1.能夠直接訪問

49、與硬件相關(guān)的存儲(chǔ)器或i/o端口; 2.能夠不受編譯器的限制,對(duì)生成的二進(jìn)制代碼進(jìn)行完全的控制; 3.能夠?qū)﹃P(guān)鍵代碼進(jìn)行更準(zhǔn)確的控制,避免因線程共同訪問或者硬件設(shè)備共享引起的死鎖; 4.能夠根據(jù)特定的應(yīng)用對(duì)代碼做最佳的優(yōu)化,提高運(yùn)行速度; 5.能夠最大限度地發(fā)揮硬件的功能。 (2)匯編語言是一種層次非常低的語言,它僅僅高于直接手工編寫二進(jìn)制的機(jī)器指令碼,因此不可避免地存在一些缺點(diǎn): 1.編寫的代碼非常難懂,不好維護(hù); 2.很容易產(chǎn)生bug,難于調(diào)試; 3.只能針對(duì)特定的體系結(jié)構(gòu)和處理器進(jìn)行優(yōu)化; 4.開發(fā)效率很低,時(shí)間長且單調(diào)。 (3)匯編語言的特點(diǎn): 1.面向機(jī)器的低級(jí)語言,通常是為特定的計(jì)算

50、機(jī)或系列計(jì)算機(jī)專門設(shè)計(jì)的。 2.保持了機(jī)器語言的優(yōu)點(diǎn),具有直接和簡捷的特點(diǎn)。 3.可有效地訪問、控制計(jì)算機(jī)的各種硬件設(shè)備,如磁盤、存儲(chǔ)器、cpu、i/o端口等。 4.目標(biāo)代碼簡短,占用內(nèi)存少,執(zhí)行速度快,是高效的程序設(shè)計(jì)語言。5.經(jīng)常與高級(jí)語言配合使用,應(yīng)用十分廣泛。 對(duì)于不同型號(hào)的計(jì)算機(jī),有著不同的結(jié)構(gòu)的匯編語言。匯編語言由于采用了助記符號(hào)來編寫程序,比用機(jī)器語言的二進(jìn)制代碼編程要方便些,在一定程度上簡化了編程過程。匯編語言的特點(diǎn)是用符號(hào)代替了機(jī)器指令代碼,而且助記符與指令代碼一一對(duì)應(yīng),基本保留了機(jī)器語言的靈活性。使用匯編語言能面向機(jī)器并較好地發(fā)揮機(jī)器的特性,得到質(zhì)量較高的程序。 匯編語言是

51、面向具體機(jī)型的,它離不開具體計(jì)算機(jī)的指令系統(tǒng),因此,對(duì)于不同型號(hào)的計(jì)算機(jī),有著不同的結(jié)構(gòu)的匯編語言,而且,對(duì)于同一問題所編制的匯編語言程序在不同種類的計(jì)算機(jī)間是互不相通的。 匯編語言中由于使用了助記符號(hào),用匯編語言編制的程序輸入計(jì)算機(jī),計(jì)算機(jī)不能象用機(jī)器語言編寫的程序一樣直接識(shí)別和執(zhí)行,必須通過預(yù)先放入計(jì)算機(jī)的匯編程序中進(jìn)行加工和翻譯,才能變成能夠被計(jì)算機(jī)直接識(shí)別和處理的二進(jìn)制代碼程序。用匯編語言等非機(jī)器語言書寫好的符號(hào)程序稱為源程序,運(yùn)行時(shí)匯編程序要將源程序翻譯成目標(biāo)程序。目標(biāo)程序是機(jī)器語言程序,當(dāng)它被安置在內(nèi)存的預(yù)定位置上,就能被計(jì)算機(jī)的cpu處理和執(zhí)行。 匯編語言像機(jī)器指令一樣,是硬件操

52、作的控制信息,因而仍然是面向機(jī)器的語言,使用起來還是比較繁瑣費(fèi)時(shí),通用性也差。但是,匯編語言用來編制系統(tǒng)軟件和過程控制軟件,其目標(biāo)程序占用內(nèi)存空間少,運(yùn)行速度快,有著高級(jí)語言不可替代的用途。 第三節(jié) 源程序匯編源程序: st bit p3.0 oe bit p3.1 org 0000h ljmp mainorg 0030hmain: clr p2.0clr p2.2lcall delayclr stsetb stclr stlcall delaysetb oemov p1,#0ffhmov a,p1clr oe clr c subb a,#67h ;報(bào)警值 jnc alermsjmp mainalerm: setb p2.2 setb p2.0 mov r4,#255 djnz r4,$ clr p

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論