通用組可控放大器_第1頁
通用組可控放大器_第2頁
通用組可控放大器_第3頁
通用組可控放大器_第4頁
通用組可控放大器_第5頁
已閱讀5頁,還剩20頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

1、 可控放大器設計報告組員姓名: 何林、曾聰杰、黃佳駿 專業(yè)班級: 微電子科學與工程 組別 : 通用組 2015 年 8 月 3 日 目錄摘要- 3第一章 設計原理與論證1.測量放大部分-4(1)前置放大電路的設計-4(2)程控增益放大部分-52.濾波部分-63.程控濾波部分-94.電路仿真結(jié)果- 95.軟件流程- -10第二章 參數(shù)測試分析1.放大器測試-102.程控濾波器測試-113.測試結(jié)果及其分析-11附錄(總程序)-12摘要: 本設計以單片機STC90C516為核心,由放大電路、濾波電路、顯示模塊和矩陣按鍵模塊組成。通過燒寫程序控制單片機I/O口,繼而控制數(shù)字電位器X9C103的電阻變

2、化,從而實現(xiàn)高低通濾波電路截止頻率的步進和變化。放大器部分采用DAC8032芯片,通過A/D轉(zhuǎn)換實現(xiàn)放大器倍數(shù)以5db的倍數(shù)步進增加。本系統(tǒng)還具有參數(shù)設置和顯示功能,可通過按鍵設置輸入信號的電壓增益和輸出信號的截止頻率,并用LCD1602液晶屏顯示。 關(guān)鍵詞: 單片機STC90C516 數(shù)字電位器X9C103 高通濾波 低通濾波 D/A轉(zhuǎn)換芯片DAC0832、液晶屏LCD1602要求:1、基本要求 (1)放大器輸入正弦信號電壓振幅為20mV,電壓增益為45dB,通頻帶為100Hz20kHz,放大器輸出電壓無明顯失真。 (2)濾波器可設置為低通濾波器,其-3dB截止頻率fc在1kHz10kHz范

3、圍內(nèi)可調(diào),調(diào)節(jié)的頻率步進為1KHz,2fc處放大器與濾波器的總電壓增益不大于30dB,RL=1kW。 (3)濾波器可設置為高通濾波器,其-3dB截止頻率fc在1kHz10kHz范圍內(nèi)可調(diào),調(diào)節(jié)的頻率步進為0.5kHz,0.5fc處放大器與濾波器的總電壓增益不大于30dB, RL=1kW。 (4)截止頻率的誤差不大于10%。 (5)有設置參數(shù)顯示功能。 2、發(fā)揮部分 (1)放大器電壓增益為55dB,輸入正弦信號電壓振幅為20mV,增益10dB步進可調(diào),通頻帶為100Hz50kHz。 (2)制作一個帶通濾波器,中心頻率25kHz,通頻帶5kHz,在20kHz和30kHz頻率處,要求放大器與帶通濾波

4、器的總電壓增益不大于45dB。 (3)上述帶通濾波器中心頻率可設置,設置范圍15kHz35kHz,步進為2kHz。 (4)電壓增益、截止頻率誤差均不大于5%。 (5)其他。 第一章 設計原理與論證 1、 測量放大部分(1)前置放大電路的設計 方案一:本次設計選擇此方案,采用NE5532運算放大器,NE5532是高性能低噪聲雙運算放大器(雙運放)集成電路。與很多標準運放相似,但它具有更好的噪聲性能,優(yōu)良的輸出驅(qū)動能力及相當高的小信號帶寬,電源電壓范圍大等特點。因此很適合應用在高品質(zhì)和專業(yè)音響設備、儀器、控制電路及電話通道放大器。用作音頻放大時音色溫暖,保真度高,在上世紀九十年代初的音響界被發(fā)燒友

5、們譽為“運放之皇”,至今仍是很多音響發(fā)燒友手中必備的運放之一。為了達到45db的要求,采用兩個運放級聯(lián),第一級放大10倍,第二級放大17倍左右:電路圖如下所示: 方案二: 用LM324放大器,其電源電流很小且與電源電壓無關(guān),輸入偏流電阻是溫度補償?shù)?,也不需外接頻率補償,可做到輸出電平與數(shù)字電路兼容,但其帶寬參數(shù)無法滿足本設計要求故不采用。 (2)程控增益放大部分 方案一:運放+模擬開關(guān)+電阻網(wǎng)絡。如圖1這種方法利用模擬開關(guān)切換電阻反饋網(wǎng)絡,從而改變放大電路的閉環(huán)增益。由于增益步進較多,此種方法需要許多電阻網(wǎng)絡,接線不方便,因此不采用此方案。 圖1方案二:采用D/A轉(zhuǎn)換模塊芯片DAC0832,通

6、過單片機控制I/O口的高低電平變化,控制DAC0832輸出電壓的變化,在通過一個后級放大實現(xiàn)步進。本設計采用方案二,電路如下圖所示:2、 濾波部分 在工業(yè)業(yè)自動化的許多領域都要使用濾波器。一般有源濾波器均由運算放大器和RC元件或LC元件組成 ,本方案采用元算放大器和RC元件組成。本實驗采用方案一。方案一:使用RC網(wǎng)絡, RC電路可以做到體積小和廉價,采用二階巴特沃茲有源濾波器。圖2 (1)、二階低通濾波電路原理圖如圖2所示。輸入電壓Ui經(jīng)過兩級RC低通電路后,再接到集成運放的同相輸入端。因此,在高頻段,對數(shù)幅頻特性將以-40dB十倍頻的速度下降,使濾波特性比較接近于理想情況。電路中第一級的電容

7、不接地而改接到輸出端,這種接法相當于在二階有源濾波電路中引了一個反饋,其目的是為了使輸出電壓在高頻段迅速下降,但在接近于通帶截止頻率的范圍內(nèi)又不致下降太多,從而有得改善濾波特性。設C1=C2=C,R1=R2=R,則其中心頻率為, (1)令截止頻率等于中心頻率,通過改變R和C,就可以改變截止頻率。參數(shù)及其計算公式:二階有源低通濾波器傳輸函數(shù):Au=() =1+為通帶放大倍數(shù), 為品質(zhì)因數(shù)。 當取時,根據(jù)設計要求電壓增益為-3dB,則有 ()由式(3)解得,又由得。因此根據(jù)式 =1+選擇R3=39K,Rf=22.85K(因沒有22.85K的電阻,因此采用20K的電阻,電路的截止頻率可能稍有偏差)。

8、 當時,將此關(guān)系帶入公式3,則有:=24.6dB所以總增益為:,滿足設計要求中在處放大器與濾波器的總電壓增益不大于30dB。R2圖3 二階高通濾波電路(2):二階高通濾波電路如圖3所示。二階高通電路同樣與低通電路一樣,也引入了正負反饋,提高了電路的濾波特性。而且其也是通過改變電路中R和C的阻值來改變電路的截止頻率。參數(shù)及其計算公式: .取R1=R2=R,C1=C2=C,則有。 .二階有源高通濾波器傳輸函數(shù)的通式:Au=(4) 其中 =1+為通帶放大倍數(shù),為品質(zhì)因數(shù)。 當取時,根據(jù)設計要求電壓增益為-3dB,則有(5)同于低通二階濾波解得有, 。因此選擇R3=39K,Rf=22.85K當時,將其

9、帶入公式5解得電壓增益為24.6dB,所以總增益為:,滿足設計要求中在處放大器與濾波器的總電壓增益不大于30dB。其中的電容我們在高通濾波器選擇15nf的電容,在低通濾波器選擇22nf的電容。 方案二:使用MAX262可編程開關(guān)電容通用濾波器,它是美國MAXIM公司開發(fā)的一種通用有源濾波器,可用微處理器編程控制,方便的構(gòu)成各種低通、帶通、高通、陷波和全通配置,而且不需要外部元件,可靠性高,對使用環(huán)境的要求不高。但由于其成本較高,故本實驗不采用此方案。3、 程控濾波部分 通過單片機控制數(shù)字電位器的變化,從而改變?yōu)V波電路的截止頻率的變化和步進。數(shù)字電位器X9C103的管腳圖如下圖所示;其中的1腳、

10、2腳、7腳是控制輸出電阻變化的端口,5腳和6腳是輸出電阻的接入口、4、 電路仿真結(jié)果低通濾波器仿真結(jié)果:高通濾波器仿真結(jié)果:5、 軟件流程圖 第二章 參數(shù)測試分析1、前級放大電路電壓增益Avf=Vo/Vi=45.2db,可以根據(jù)電位器來調(diào)節(jié)放大倍數(shù)的大小。 2、濾波電路的測量從濾波器的輸入端輸入不同頻率的正弦波,用雙蹤示波器分別觀察高低通的輸出信號,測量它的振幅,記錄于表二、表三、表四、表五、表六,并計算它的增益。 表二 低通濾波電路性能測量fc(KHz)低通濾波器輸入信號振幅A0(v)低通濾波輸出信號幅度A1(v)T2(該截止頻率處的增益T1=原增益倍數(shù)=5.8/3.525=1.64=4.3

11、(dB)T2/T133.5254.121.171.640.71353.5254.081.151.640.70173.5254.161.181.640.71993.5254.21.191.640.725 表三 高通濾波電路性能測量fc(KHz)高通濾波器輸入信號振幅A0(v)高通濾波輸出信號幅度A1(v)T2(該截止頻率處的增益T1=原增益倍數(shù)=5.56/3.525=1.58T2/T143.5253.921.111.580.70363.5253.821.081.580.683123.5253.801.091.580.689203.5253.961.121.580.708表四 2fc處放大器與低通

12、濾波電路的總增益測量(放大器增益為45dB)fc(KHz)放大器輸入信號振幅A0(mv)低通濾波輸出信號幅度A1(v)總增益 (dB )1201.5237.612201.6038.063201.6038.065201.5237.61表五 0.5fc處放大器與高通濾波電路的總增益測量(放大器增益為45dB)fc(KHz)放大器輸入信號振幅A0(mv)高通濾波輸出信號幅度A1(v)總增益 (dB )3201.2435.845201.4426.226.5201.2837.158.5201.2435.84表六 截止頻率的測量(放大器增益為20dB)截止頻率設值fc(KHz)低通截止頻率測量值fc1(K

13、Hz)低通截止頻率誤差(%)截止頻率設定值fc(KHz)高通截止頻率測量值fc2(KHz)高通濾波截止頻率誤差(%)32.91344.133.2565.862.366.213.577.324.57.57.72.688.2439.59.83.16測試結(jié)果及其分析: 由以上表可知,設計的截止頻率基本能滿足%5的設計要求,但是還存在一定的誤差。低通濾波器和高通濾波器在2fc處和0.5fc處的增益均不滿足小于30dB的要求,這是由于二階濾波器只有-12dB,而三階則有-18dB,四階則有-24dB,高通濾波的效果要比低通濾波的效果好。電路的誤差主要是由于濾波電容本身存在很大的誤差,且還會漂移,使得實際

14、測量值魚理論計算值相差較大。附錄:程控濾波主程序:/voidxianshihup()unsignedchari;unsignedcharj;unsignedcharxianshi118=45dBhigh1khz;unsignedcharxianshi1518=45dBhigh1.5khz;unsignedcharxianshi218=45dBhigh2khz;unsignedcharxianshi2518=45dBhigh2.5khz;unsignedcharxianshi318=45dBhigh3khz;unsignedcharxianshi3518=45dBhigh3.5khz;unsig

15、nedcharxianshi418=45dBhigh4khz;unsignedcharxianshi4518=45dBhigh4.5khz;unsignedcharxianshi518=45dBhigh5khz;unsignedcharxianshi5518=45dBhigh5.5khz;unsignedcharxianshi618=45dBhigh6khz;unsignedcharxianshi6518=45dBhigh6.5khz;unsignedcharxianshi718=45dBhigh7khz;unsignedcharxianshi7518=45dBhigh7.5khz;unsig

16、nedcharxianshi818=45dBhigh8khz;unsignedcharxianshi8518=45dBhigh8.5khz;unsignedcharxianshi918=45dBhigh9khz;unsignedcharxianshi9518=45dBhigh9.5khz;unsignedcharxianshi1018=45dBhigh10khz;b+;switch(b)case(1):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi1j);break;case(2):LcdWriteCom(0x01);for(j=0;

17、j18;j+)LcdWriteData(xianshi15j); UDH=0;CSH=0;for(i=0;i30;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(3):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi2j); UDH=0;CSH=0;for(i=0;i18;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(4):LcdWriteCom(0x01);for(j=0;j18;j+)Lc

18、dWriteData(xianshi25j); UDH=0;CSH=0;for(i=0;i9;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(5):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi3j); UDH=0;CSH=0;for(i=0;i5;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(6):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(

19、xianshi35j); UDH=0;CSH=0;for(i=0;i3;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(7):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi4j); UDH=0;CSH=0;for(i=0;i3;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(8):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi45j)

20、; UDH=0;CSH=0;for(i=0;i2;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(9):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi5j); UDH=0;CSH=0;for(i=0;i1;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(10):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi55j); UDH=0;CS

21、H=0;for(i=0;i1;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(11):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi6j); UDH=0;CSH=0;for(i=0;i1;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(12):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi65j); UDH=0;CSH=0;for(i

22、=0;i1;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(13):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi7j); break;case(14):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi75j); break;case(15):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi8j); UDH=0;CSH=0;for(i=0;i1;i+

23、)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(16):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi85j); UDH=0;CSH=0;for(i=0;i1;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(17):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi9j); break;case(18):LcdWriteCom(0x01);f

24、or(j=0;j18;j+)LcdWriteData(xianshi95j); break;case(19):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi10j); UDH=0;CSH=0;for(i=0;i1;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(20):b=19;break;2015/8/323:17:18/voidxianshihdown()unsignedchari;unsignedcharj;unsignedcharxianshi118=45

25、dBhigh1khz;unsignedcharxianshi1518=45dBhigh1.5khz;unsignedcharxianshi218=45dBhigh2khz;unsignedcharxianshi2518=45dBhigh2.5khz;unsignedcharxianshi318=45dBhigh3khz;unsignedcharxianshi3518=45dBhigh3.5khz;unsignedcharxianshi418=45dBhigh4khz;unsignedcharxianshi4518=45dBhigh4.5khz;unsignedcharxianshi518=45

26、dBhigh5khz;unsignedcharxianshi5518=45dBhigh5.5khz;unsignedcharxianshi618=45dBhigh6khz;unsignedcharxianshi6518=45dBhigh6.5khz;unsignedcharxianshi718=45dBhigh7khz;unsignedcharxianshi7518=45dBhigh7.5khz;unsignedcharxianshi818=45dBhigh8khz;unsignedcharxianshi8518=45dBhigh8.5khz;unsignedcharxianshi918=45

27、dBhigh9khz;unsignedcharxianshi9518=45dBhigh9.5khz;unsignedcharxianshi1018=45dBhigh10khz;if(b!=0)b-;switch(b)case(0):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi1j); UDH=1;CSH=0;for(i=0;i45;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(1):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWri

28、teData(xianshi15j); UDH=1;CSH=0;for(i=0;i17;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(2):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi2j); UDH=1;CSH=0;for(i=0;i8;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(3):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xia

29、nshi25j); UDH=1;CSH=0;for(i=0;i5;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(4):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi3j); UDH=1;CSH=0;for(i=0;i4;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(5):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi35j); U

30、DH=1;CSH=0;for(i=0;i2;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(6):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi4j); UDH=1;CSH=0;for(i=0;i2;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(7):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi45j); UDH=1;CSH=0;for(i=0;i1;i+)INCH=1;Delay10ms(1);INCH=0;Delay10ms(1);CSH=1;break;case(8):LcdWriteCom(0x01);for(j=0;j18;j+)LcdWriteData(xianshi5j); UDH=1;CSH=0;for(i=0;i2;i+)INCH=1;Delay10ms(1);INCH

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論