基于單片機(jī)控制交通燈的設(shè)計(jì)畢業(yè)設(shè)計(jì)說明書(論文)_第1頁
基于單片機(jī)控制交通燈的設(shè)計(jì)畢業(yè)設(shè)計(jì)說明書(論文)_第2頁
基于單片機(jī)控制交通燈的設(shè)計(jì)畢業(yè)設(shè)計(jì)說明書(論文)_第3頁
基于單片機(jī)控制交通燈的設(shè)計(jì)畢業(yè)設(shè)計(jì)說明書(論文)_第4頁
基于單片機(jī)控制交通燈的設(shè)計(jì)畢業(yè)設(shè)計(jì)說明書(論文)_第5頁
已閱讀5頁,還剩32頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、信息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)說明書(論文)設(shè)計(jì)(論文)題目:基于單片機(jī)控制的交通燈 設(shè)計(jì) 專 業(yè):_電子信息工程技術(shù)_班 級:_電信07-1班 _息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)任務(wù)書學(xué) 生姓 名學(xué)號班級電信07-1班專業(yè)電子信息工程技術(shù)設(shè)計(jì)(或論文)題目基于單片機(jī)控制的交通燈設(shè)計(jì)指導(dǎo)教師姓名職 稱工作單位及所從事專業(yè)聯(lián)系方式備 注副教授學(xué)院電信教研室設(shè)計(jì)(論文)內(nèi)容:注意:選題要結(jié)合實(shí)際。設(shè)計(jì)(論文)內(nèi)容要詳細(xì)寫明具體步驟;學(xué)生在該設(shè)計(jì)中具體完成的工作(結(jié)果) 1、介紹單片機(jī)的發(fā)展歷史及人們對單片機(jī)技術(shù)的運(yùn)用領(lǐng)域;2、對“單片機(jī)交通燈電路”的概述、設(shè)計(jì)和方案介紹;3、對交通燈系統(tǒng)的硬件設(shè)計(jì);4、對

2、交通燈系統(tǒng)的軟件設(shè)計(jì);5、著重講解交通燈的整機(jī)工作原理:(1)東西方向(2)南北方向(3)緊急中斷;6結(jié)論:簡述單片機(jī)交通燈電路的系統(tǒng)構(gòu)成及不足之處、自我總結(jié)。進(jìn)度安排:要有較為詳細(xì)的時(shí)間安排(時(shí)間具體到周)進(jìn)度安排內(nèi)容及要求備注2008.10.1310.29搜集資料并對交通燈的發(fā)展、定義等進(jìn)行分析第6、7周2008.10.3011.23著重分析交通燈的工作原理第8、9、10周2008.11.1711.30分析交通燈的運(yùn)行狀況,完成整體的方案第10、11周2008.11.2412.28對整個(gè)論文進(jìn)行整理檢查第11至16周2008.12.2109.1.3畢業(yè)設(shè)計(jì)答辯第16、17周主要參考文獻(xiàn)、資

3、料(寫清楚參考文獻(xiàn)名稱、作者、出版單位):1胡漢才.單片機(jī)原理及其接口技術(shù) m. 北京:清華大學(xué)出版,19962付家才.單片機(jī)控制工程實(shí)踐技術(shù)m. 北京:化學(xué)工業(yè)出版社,2004.53余錫存,曹國華.單片機(jī)原理及接口技術(shù)m.陜西:西安電子科技大學(xué)出版社,2000.7審批意見教研室負(fù)責(zé)人:年 月 日備注:任務(wù)書由指導(dǎo)教師填寫,一式二份。其中學(xué)生一份,指導(dǎo)教師一份。目錄摘要1第一章 緒論21.1單片機(jī)交通燈電路概述21.2設(shè)計(jì)任務(wù)21.3方案介紹31.3.1方案設(shè)計(jì)思想31.3.2方案示意圖4第二章 交通燈系統(tǒng)硬件設(shè)計(jì)52.1 系統(tǒng)框架圖52.3 單元電路的分析與介紹62.3.1 msc-51芯片

4、簡介62.3.2 led顯示數(shù)碼管92.3.3 晶體振蕩器102.3.4 復(fù)位電路11第三章 交通燈系統(tǒng)軟件設(shè)計(jì)123.1主程序流程圖123.2 軟件延時(shí)程序123.3 中斷程序133.3.1 定時(shí)器中斷133.3.2 外部中斷143.4 數(shù)碼顯示管倒計(jì)時(shí)程序143.5 led工作程序15第四章 系統(tǒng)工作原理16第五章 結(jié)論18致 謝19參考文獻(xiàn)20附錄121附錄222摘要當(dāng)今,紅綠燈安裝在各個(gè)道口上,已經(jīng)成為疏導(dǎo)交通車輛最常見和最有效的手段。而近年來,中國車輛數(shù)量不斷增加,這以為著交通控制在未來的交通管理中起著越來越重要的作用。智能交通燈的管理比重修一條馬路無論在經(jīng)濟(jì)、交通運(yùn)行速率上都有很好

5、的效益、更加節(jié)約資源;使交管人員有更多的精力投入到管理整個(gè)城市交通控制,帶來更大的經(jīng)濟(jì)和社會效益,為創(chuàng)造美好的城市交通形象發(fā)揮更多的作用。關(guān)鍵詞:單片機(jī) 交通燈 第一章 緒論1.1單片機(jī)交通燈電路概述近年來隨著科技的飛速發(fā)展,單片機(jī)的應(yīng)用正在不斷深入,同時(shí)帶動傳統(tǒng)控制檢測技術(shù)日益更新。在實(shí)時(shí)檢測和自動控制的單片機(jī)應(yīng)用系統(tǒng)中,單片機(jī)往往作為一個(gè)核心部件來使用,僅單片機(jī)方面知識是不夠的,還應(yīng)根據(jù)具體硬件結(jié)構(gòu)軟硬件結(jié)合,加以完善。十字路口車輛穿梭,行人熙攘,車行車道,人行人道,有條不紊。那么靠什么來實(shí)現(xiàn)這井然秩序呢?靠的就是交通信號燈的自動指揮系統(tǒng)。交通信號燈控制方式很多。本系統(tǒng)采用msc-51系列

6、單片機(jī)at89c51為中心器件來設(shè)計(jì)交通燈控制器,實(shí)現(xiàn)了能根據(jù)實(shí)際車流量通過8051芯片的p2口設(shè)置紅、綠燈燃亮?xí)r間的功能,紅綠燈循環(huán)點(diǎn)亮,倒計(jì)時(shí)為5秒時(shí)黃燈閃爍警示(交通燈信號通過p3口輸出,顯示時(shí)間直接通過p0和p2口輸出至雙位數(shù)碼管);外加緊急事件中斷處理。本系統(tǒng)只在基礎(chǔ)交通燈上加了一個(gè)緊急通道開關(guān),其實(shí)用性只適合中小型城市,使用范圍小,還有待改進(jìn)。1.2設(shè)計(jì)任務(wù)東西(a)、南北(b)兩干道交于一個(gè)十字路口,各干道有一組紅、黃、綠三個(gè)指示燈,指揮車輛和行人安全通行。紅燈亮禁止通行,綠燈亮允許通行。紅燈的設(shè)計(jì)時(shí)間為40秒,黃燈為5秒,綠燈為34秒。1.3方案介紹1.3.1方案設(shè)計(jì)思想狀態(tài)a

7、干道燈顯示b干道燈顯示東西方向紅燈(40s)黃燈(5s)東西方向紅燈(34s)綠燈(34s)南北方向黃燈(5s)紅燈(40s)南北方向綠燈(34s)紅燈(34s)本方案分三步:1、要建立兩路信號燈的控制系統(tǒng),本設(shè)計(jì)采用at89c51芯片通過組合邏輯控制兩路燈的顯示關(guān)系。2、建立顯示控制系統(tǒng),本系統(tǒng)采用msc-51系列單片機(jī)at89c51為中心器件來設(shè)計(jì)交通燈控制器,實(shí)現(xiàn)了能通過8051芯片的p0和p1口分別設(shè)置南北與東西路道的紅、綠、黃燈燃亮?xí)r間的功能,紅綠黃燈循環(huán)點(diǎn)亮。3、建立反饋信息部分,主要解決顯示時(shí)間和燈控的同步問題,采用倒計(jì)時(shí)系統(tǒng),通過緊急中斷和復(fù)位電路同步反饋到顯示系統(tǒng)的置數(shù)環(huán)節(jié)中

8、。注意:雖然本設(shè)計(jì)沒有擴(kuò)展i/o端口,但實(shí)際上8051的4個(gè)8位i/o端口中,真正能提供借用的只有p1口,因?yàn)閜2和p0口通常用于傳送外部傳送地址和數(shù)據(jù),p3口也有它的第二功能。因此,8051通常需要擴(kuò)展。由于我們用外部輸入設(shè)定紅綠燈倒計(jì)時(shí)初值、數(shù)碼管的輸出顯示、紅綠黃信號燈的顯示都要用到一個(gè)i/o端口,顯然8051的端口是不夠,需要擴(kuò)展。擴(kuò)展的方法有兩種:(1)借用外部ram地址來擴(kuò)展i/o端口;(2)采用i/o接口新片來擴(kuò)充。1.3.2方案示意圖 b b 東西紅燈亮 東西綠燈亮 a a (車輛禁止通行) (車輛可直線行駛和左轉(zhuǎn)彎) 南北綠燈亮 南北紅燈亮 (可以直線行駛和左轉(zhuǎn)彎) (車輛禁

9、止通行) 圖1.1 圖1.2 b 東西紅燈亮 a (緊急車輛通行狀態(tài)) 南北紅燈亮 圖1.3由上面三幅圖可以知道,圖1為東西(a)紅燈、南北(b)綠燈狀態(tài)下的正常通行狀態(tài),當(dāng)南北(b)為綠燈狀態(tài)時(shí),南北方向的車輛可以通過并且可左轉(zhuǎn)彎;圖2同圖1一樣是屬于正常通行狀態(tài),不過是東西(a)為綠燈、南北(b)紅燈;圖3為緊急車輛通行狀態(tài),當(dāng)遇到緊急車輛需要通過的時(shí)候,四周紅燈全亮,緊急車輛可以從十字路口通行。第2章 交通燈系統(tǒng)硬件設(shè)計(jì)此設(shè)計(jì)采用的是at89c51單片機(jī)為內(nèi)部控制芯片,外部接有按鍵中斷電路以及復(fù)位電路以外,還有4個(gè)兩位數(shù)碼管,用以倒計(jì)時(shí)和4個(gè)路口的燈,共12個(gè)led燈。2.1 系統(tǒng)框架圖

10、電路板一塊,at89s51單片機(jī)一片,八段led數(shù)碼管四個(gè)。發(fā)光二極管12個(gè)(4個(gè)綠的,4個(gè)紅,4個(gè)黃的),8個(gè)電阻,2個(gè)電容,1個(gè)晶振,1個(gè)電解電容,1個(gè)按鍵開關(guān)。(系統(tǒng)結(jié)構(gòu)框圖:圖2.1)at89c51外部晶體振蕩電路按鍵復(fù)位電路數(shù)碼顯示管按鍵外部中斷l(xiāng)ed燈 圖2.12.3 單元電路的分析與介紹2.3.1 msc-51芯片簡介mcs-51單片機(jī)內(nèi)部結(jié)構(gòu)8051是mcs-51系列單片機(jī)的典型產(chǎn)品,我們以這一代表性的機(jī)型進(jìn)行系統(tǒng)的講解。8051單片機(jī)包含中央處理器、程序存儲器(rom)、數(shù)據(jù)存儲器(ram)、定時(shí)/計(jì)數(shù)器、并行接口、串行接口和中斷系統(tǒng)等幾大單元及數(shù)據(jù)總線、地址總線和控制總線等

11、三大總線,現(xiàn)在我們分別加以說明:中央處理器:中央處理器(cpu)是整個(gè)單片機(jī)的核心部件,是8位數(shù)據(jù)寬度的處理器,能處理8位二進(jìn)制數(shù)據(jù)或代碼,cpu負(fù)責(zé)控制、指揮和調(diào)度整個(gè)單元系統(tǒng)協(xié)調(diào)的工作,完成運(yùn)算和控制輸入輸出功能等操作。數(shù)據(jù)存儲器(ram)8051內(nèi)部有128個(gè)8位用戶數(shù)據(jù)存儲單元和128個(gè)專用寄存器單元,它們是統(tǒng)一編址的,專用寄存器只能用于存放控制指令數(shù)據(jù),用戶只能訪問,而不能用于存放用戶數(shù)據(jù),所以,用戶能使用的ram只有128個(gè),可存放讀寫的數(shù)據(jù),運(yùn)算的中間結(jié)果或用戶定義的字型表。 圖2.2程序存儲器(rom): 8051共有4096個(gè)8位掩膜rom,用于存放用戶程序,原始數(shù)據(jù)或表格。

12、定時(shí)/計(jì)數(shù)器(rom): 8051有兩個(gè)16位的可編程定時(shí)/計(jì)數(shù)器,以實(shí)現(xiàn)定時(shí)或計(jì)數(shù)產(chǎn)生中斷用于控制程序轉(zhuǎn)向。并行輸入輸出(i/o)口:8051共有4組8位i/o口(p0、 p1、p2或p3),用于對外部數(shù)據(jù)的傳輸。全雙工串行口:8051內(nèi)置一個(gè)全雙工串行通信口,用于與其它設(shè)備間的串行數(shù)據(jù)傳送,該串行口既可以用作異步通信收發(fā)器,也可以當(dāng)同步移位器使用。中斷系統(tǒng):8051具備較完善的中斷功能,有兩個(gè)外中斷、兩個(gè)定時(shí)/計(jì)數(shù)器中斷和一個(gè)串行中斷,可滿足不同的控制要求,并具有2級的優(yōu)先級別選擇。時(shí)鐘電路:8051內(nèi)置最高頻率達(dá)12mhz的時(shí)鐘電路,用于產(chǎn)生整個(gè)單片機(jī)運(yùn)行的脈沖時(shí)序,但8051單片機(jī)需外

13、置振蕩電容。下圖是mcs-51系列單片機(jī)的內(nèi)部結(jié)構(gòu)示意圖2.3。 圖2.3mcs-51的引腳說明:mcs-51系列單片機(jī)中的8031、8051及8751均采用40pin封裝的雙列直接dip結(jié)構(gòu),右圖是它們的引腳配置,40個(gè)引腳中,正電源和地線兩根,外置石英振蕩器的時(shí)鐘線兩根,4組8位共32個(gè)i/o口,中斷口線與p3口線復(fù)用。現(xiàn)在我們對這些引腳的功能加以說明:如圖2.4 圖2.4引腳9:reset/vpd復(fù)位信號復(fù)用腳,當(dāng)8051通電,時(shí)鐘電路開始工作,在reset引腳上出現(xiàn)24個(gè)時(shí)鐘周期以上的高電平,系統(tǒng)即初始復(fù)位。初始化后,程序計(jì)數(shù)器pc指向0000h,p0-p3輸出口全部為高電平,堆棧指針

14、寫入07h,其它專用寄存器被清“0”。reset由高電平下降為低電平后,系統(tǒng)即從0000h地址開始執(zhí)行程序。然而,初始復(fù)位不改變r(jià)am(包括工作寄存器r0-r7)的狀態(tài),8051的初始態(tài)。8051的復(fù)位方式可以是自動復(fù)位,也可以是手動復(fù)位,見下圖2.5。此外,reset/vpd還是一復(fù)用腳,vcc掉電其間,此腳可接上備用電源,以保證單片機(jī)內(nèi)部ram的數(shù)據(jù)不丟失。 圖2.5引腳30:ale/當(dāng)訪問外部程序器時(shí),ale(地址鎖存)的輸出用于鎖存地址的低位字節(jié)。而訪問內(nèi)部程序存儲器時(shí),ale端將有一個(gè)1/6時(shí)鐘頻率的正脈沖信號,這個(gè)信號可以用于識別單片機(jī)是否工作,也可以當(dāng)作一個(gè)時(shí)鐘向外輸出。更有一個(gè)

15、特點(diǎn),當(dāng)訪問外部程序存儲器,ale會跳過一個(gè)脈沖。如果單片機(jī)是eprom,在編程其間,將用于輸入編程脈沖。引腳29:當(dāng)訪問外部程序存儲器時(shí),此腳輸出負(fù)脈沖選通信號,pc的16位地址數(shù)據(jù)將出現(xiàn)在p0和p2口上,外部程序存儲器則把指令數(shù)據(jù)放到p0口上,由cpu讀入并執(zhí)行。引腳31:ea/vpp程序存儲器的內(nèi)外部選通線,8051和8751單片機(jī),內(nèi)置有4kb的程序存儲器,當(dāng)ea為高電平并且程序地址小于4kb時(shí),讀取內(nèi)部程序存儲器指令數(shù)據(jù),而超過4kb地址則讀取外部指令數(shù)據(jù)。如ea為低電平,則不管地址大小,一律讀取外部程序存儲器指令。顯然,對內(nèi)部無程序存儲器的8031,ea端必須接地。2.3.2 le

16、d顯示數(shù)碼管八段led顯示器由八個(gè)發(fā)光二極管組成。其中7個(gè)長條形的發(fā)光管排列成“日”字形,另一個(gè)圓點(diǎn)形的放光管在顯示器的右下角作為顯示小數(shù)點(diǎn)用,它能顯示各種數(shù)字及部分英文字母。led顯示器有兩種不同的形式:一種是8個(gè)發(fā)光二極管的陽極都連在一起的,稱為共陽極led顯示器如圖2-2所示;另一種是8個(gè)發(fā)光二極管的陰極都連在一起的,稱為共陰極led顯示器。led數(shù)碼管結(jié)構(gòu)原理圖:(如圖2.6、2.7、2.8) 圖2.6 高電平驅(qū)動(共陰極) 圖2.7 低電平驅(qū)動(共陽極) 圖2.8 八段led數(shù)碼管2.3.3 晶體振蕩器石英晶體振蕩器的特點(diǎn)是振蕩頻率準(zhǔn)確、電路結(jié)構(gòu)簡單、頻率易調(diào)整,作用是為系統(tǒng)提供基本

17、的時(shí)鐘信號。我們在晶體某一方向加一電場,從而在與此垂直的方向產(chǎn)生機(jī)械振動,有了機(jī)械振動,就會在相應(yīng)的垂直面上產(chǎn)生電場,從而使機(jī)械振動和電場互為因果,這種循環(huán)過程一直持續(xù)到晶體的機(jī)械強(qiáng)度限制時(shí),才達(dá)到最后穩(wěn)定,這種壓電諧振的頻率即為晶體振蕩器的固有頻率。振蕩器特性,xtal1和xtal2分別為反向放大器的輸入和輸出。該反晶體向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動器件,xtal2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號要通過一個(gè)二分頻觸發(fā)器,因此對外部時(shí)鐘信號的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。在xtal1和xtal2引腳上外接定時(shí)元件就能構(gòu)成自激振

18、蕩電路。定時(shí)元件通常采用石英晶體和電容組成的并聯(lián)諧振電路。電容器c1和c2主要起頻率微調(diào)作用。 圖2.9 2.3.4 復(fù)位電路89c51的復(fù)位時(shí)由外部的復(fù)位電路來實(shí)現(xiàn)的。復(fù)位引腳rst通過一個(gè)施密特觸發(fā)器用來抑制噪聲,施密特觸發(fā)器的輸出電平由復(fù)位電路采樣一次,然后才能得到內(nèi)部復(fù)位操作所需要的信號。本設(shè)計(jì)是采用上電自動復(fù)位,上電自動復(fù)位時(shí)通過外部復(fù)位電路的電容充電來實(shí)現(xiàn)的。只要vcc的上升時(shí)間不超過1ms,就可以實(shí)現(xiàn)自動上電復(fù)位。時(shí)鐘頻率用12mhz時(shí)c取20pf。 圖2.10第三章 交通燈系統(tǒng)軟件設(shè)計(jì)3.1主程序流程圖3.2 軟件延時(shí)程序在整個(gè)程序里面,如在上一句命令與下一句命令執(zhí)行之間(上一

19、句命令執(zhí)行完后,間隔一段時(shí)間再執(zhí)行下面一句命令),產(chǎn)生的中間時(shí)間差,就是延時(shí)程序所起到的作用。在c語言中,延時(shí)程序,就是一種利用循環(huán)執(zhí)行一段命令,以起到延時(shí)的功能。具體的延時(shí)程序分析: void delay(uint z)/帶參數(shù)的延時(shí)函數(shù)uchar x,y;/定義兩個(gè)變量for(x=z;x0;x-)/循環(huán)延時(shí)for(y=110;y0;y-);/循環(huán)延時(shí) 3.3 中斷程序3.3.1 定時(shí)器中斷在main函數(shù)中的if(cc=82)【82是紅黃綠三燈循環(huán)一次所需的時(shí)間】來判斷語句中的cc是用來控制數(shù)碼顯示管與led燈工作的。部分程序如下:void timer0() interrupt 1/定時(shí)器0

20、的中斷函數(shù)th0=(65536-50000)/256;/重裝計(jì)數(shù)初值tl0=(65536-50000)%256;/重裝計(jì)數(shù)初值aa+;if(aa=20)/判斷定時(shí)1分鐘是否到aa=0;/計(jì)數(shù)次數(shù)清0 if(cc=0)/南北亮紅燈40秒,東西亮黃燈5秒dxy=0;/東西的黃燈亮dxg=1;/東西的綠燈不亮dxr=1;/東西的紅燈不亮nby=1;/南北的黃燈不亮nbg=1;/南北的綠燈不亮nbr=0;/南北的紅燈亮dxtemp=5;/東西的黃燈亮5秒nbtemp=40;/南北的紅燈亮40秒3.3.2 外部中斷當(dāng)由于緊急事件需要對道路進(jìn)行長時(shí)間中斷時(shí),就要外加一個(gè)外部中斷,以保持該道路的暢通。代碼如

21、下:void jjzd() interrupt 0/緊急中斷程序,南北東西都亮紅燈dxy=1;/東西的黃燈不亮dxg=1;/東西的綠燈不亮dxr=0;/東西的紅燈亮nby=1;/南北的黃燈不亮nbg=1;/南北的綠燈不亮nbr=0;/南北的紅燈亮displaynb(0,0);/南北數(shù)碼管都顯示0 displaydx(0,0);/東西數(shù)碼管都顯示0cc=0;/重最開始顯示3.4 數(shù)碼顯示管倒計(jì)時(shí)程序在十字路口,由于東西、南北方向的燈亮?xí)r間各不相同(參考1.3.1表格),就只能單獨(dú)編寫東西、南北數(shù)碼顯示管倒計(jì)時(shí)程序(其中黃燈時(shí)間5秒)。然后利用函數(shù)調(diào)用來實(shí)現(xiàn)顯示。如下為南北(東西亦同)方向的顯示程

22、序。如下:void fenjienb()/南北數(shù)碼管顯示數(shù)字的分解函數(shù)nbshi=nbtemp/10;/將要顯示的時(shí)間的十位賦給變量nbge=nbtemp%10;/將要顯示的時(shí)間的個(gè)位賦給變量nbtemp-;void displaynb(uchar nbshi,uchar nbge)/帶參數(shù)的數(shù)碼管顯示函數(shù) /顯示南北十位p2=0xfe;p0=tablenbshi;delay(5);/顯示南北個(gè)位p2=0xfd;p0=tablenbge;delay(15);3.5 led工作程序東西、南北方向的燈交替點(diǎn)亮,可參看1.3.1表格。下面為部分程序:if(cc=0)/南北亮紅燈40秒,東西亮黃燈5秒

23、dxy=0;/東西的黃燈亮dxg=1;/東西的綠燈不亮dxr=1;/東西的紅燈不亮nby=1;/南北的黃燈不亮nbg=1;/南北的綠燈不亮nbr=0;/南北的紅燈亮dxtemp=5;/東西的黃燈亮5秒nbtemp=40;/南北的紅燈亮40秒第四章 系統(tǒng)工作原理東西、南北兩干道交于一個(gè)十字路口,各干道有一組紅、黃、綠三色的指示燈,指揮車輛和行人安全通行。紅燈亮禁止通行,綠燈亮允許通行。黃燈亮提示人們注意紅、綠燈的狀態(tài)即將切換,且黃燈燃亮?xí)r間為東西、南北兩干道的公共停車時(shí)間,外加緊急事件中斷處理,如表2。5s/40s34s/34s40s/5s34s/34s緊急中斷東西道黃燈亮綠燈亮紅燈亮紅燈亮紅燈

24、亮南北道紅燈亮紅燈亮黃燈亮綠燈亮紅燈亮 表2表2說明: (1)當(dāng)東西方向?yàn)榧t燈,此道車輛禁止通行,東西道行人可通過,時(shí)間為40秒;南北道為綠燈,此道車輛通過,行人禁止通行,時(shí)間為34秒。(2)黃燈閃爍5秒,警示車輛和行人紅、綠燈的狀態(tài)即將切換。 (3)當(dāng)東西方向?yàn)榫G燈,此道車輛通行,時(shí)間為34秒;南北方向?yàn)榧t燈,南北道車輛禁止通過,行人通行,時(shí)間為40秒。(4)當(dāng)由于緊急需要時(shí),就要對道路進(jìn)行長時(shí)間中斷。(5)這樣如上表的時(shí)間和紅、綠、黃出現(xiàn)的順序依次出現(xiàn),這樣行人和車輛就能安全暢通的通行。調(diào)試程序 打開keil軟件,新建工程; 選擇芯片; 新建文檔,把編寫好代碼寫入文檔并保存了asm文件;

25、把保存的文檔加載到source group;編譯程序;設(shè)置轉(zhuǎn)換成16進(jìn)制;運(yùn)行程序的結(jié)果;把編寫好的16進(jìn)制文件(*.hex) 輸入單片機(jī)at89c51仿真器和對其進(jìn)行初始化。給實(shí)驗(yàn)板進(jìn)行通電,觀察運(yùn)行結(jié)果,不一致則跳到第一步進(jìn)行反復(fù)調(diào)試,直到與預(yù)定目的一致。通電以后,東西、南北方向的時(shí)間均遞減,5秒以后,東西方向的5秒用完,變成東西左轉(zhuǎn)、南北各34秒,此后,時(shí)間顯示和紅綠燈不再變化,一直保持這一狀態(tài)。 第五章 結(jié)論本系統(tǒng)采用msc-51系列單片機(jī)at89c51為中心器件來設(shè)計(jì)交通燈控制器,實(shí)現(xiàn)了能根據(jù)實(shí)際車流量通過8051芯片的p2口設(shè)置紅、綠燈燃亮?xí)r間的功能,紅綠燈循環(huán)點(diǎn)亮,倒計(jì)時(shí)為5秒時(shí)

26、黃燈閃爍警示(交通燈信號通過p3口輸出,顯示時(shí)間直接通過p0和p2口輸出至雙位數(shù)碼管),外加緊急事件中斷處理。系統(tǒng)不足之處不能控制車的左、右轉(zhuǎn)、以及自動根據(jù)車流改變紅綠燈時(shí)間等。這是由于本身地理位子以及車流量情況所定,如果有需要可以設(shè)計(jì)擴(kuò)充原系統(tǒng)來實(shí)現(xiàn) 。但是在這次畢業(yè)設(shè)計(jì)中我發(fā)現(xiàn)自己的看法有點(diǎn)太片面。畢業(yè)設(shè)計(jì)不僅是對前面所學(xué)知識的一種檢驗(yàn),而且也是對自己能力的一種提高。通過這次畢業(yè)設(shè)計(jì)使我明白了自己原來知識還比較欠缺,自己要學(xué)習(xí)的東西還太多,以前老是覺得自己什么東西都會,什么東西都懂,有點(diǎn)眼高手低,學(xué)習(xí)是一個(gè)長期積累的過程,在以后的工作、生活中都應(yīng)該不斷的學(xué)習(xí),努力提高自己知識和綜合素質(zhì)。

27、更使我得到了一次用專業(yè)知識、專業(yè)技能分析和解決問題全面系統(tǒng)的鍛煉。使我在單片機(jī)的基本原理、單片機(jī)應(yīng)用系統(tǒng)開發(fā)過程,以及在常用編程設(shè)計(jì)思路技巧(特別是c語言)的掌握方面都能向前邁了一大步,為日后成為合格的應(yīng)用型人才打下良好的基礎(chǔ)。 致 謝在這里,我首先向我的指導(dǎo)老師張萬良表示深深的感謝,同時(shí)感謝我的同學(xué)在畢業(yè)設(shè)計(jì)中給我的幫助和鼓勵(lì)。 在整個(gè)畢業(yè)設(shè)計(jì)過程中,他們都給予了我極大的關(guān)心和幫助,并對我的畢業(yè)設(shè)計(jì)進(jìn)行了悉心的指導(dǎo)。使我獲得了豐富的理論知識,極大地提高了實(shí)踐能力,并對當(dāng)前電子領(lǐng)域的研究狀況和發(fā)展方向有了一定的了解,單片機(jī)領(lǐng)域這對我今后進(jìn)一步學(xué)習(xí)計(jì)算機(jī)方面的知識有極大的幫助。我感謝大學(xué)三年來所

28、有教過我的計(jì)算機(jī)工程系的老師們,是他們傳授了有用的專業(yè)知識給我,使我在整個(gè)畢業(yè)設(shè)計(jì)過程中能游刃有余的發(fā)揮,同時(shí)也感謝我們計(jì)算機(jī)工程系為我們提供了良好的上機(jī)環(huán)境,在此向他們致以深深的謝意!最后,我忠心地感謝單片機(jī)組的其它同學(xué)以及各位老師的指導(dǎo)和支持,在未來的工作和學(xué)習(xí)中,我將以更好的成績來回報(bào)各位領(lǐng)導(dǎo)、老師和同學(xué)。參考文獻(xiàn)1李朝青.單片機(jī)原理及接口技術(shù)(簡明修訂版). 杭州:北京航空航天大學(xué)出版社,19982李廣弟.單片機(jī)基礎(chǔ).北京:北京航空航天大學(xué)出版社,19943 胡文金,單片機(jī)應(yīng)用技術(shù)實(shí)訓(xùn)教程,重慶大學(xué)出版社,2005年2月。4 胡輝單片機(jī)原理與應(yīng)用,中國水利出版社,2007。5 劉守義單

29、片機(jī)應(yīng)用技術(shù), 西安電子科技大學(xué)出版社附錄1交通燈控制線路圖 附錄2原程序代碼#include#define uint unsigned int/宏定義#define uchar unsigned char/宏定義uchar aa,cc,nbshi,nbge,dxshi,dxge,nbtemp,dxtemp;/定義變量sbit nbr=p30;/南北紅燈sbit nby=p31;/南北黃燈sbit nbg=p34;/南北綠燈sbit dxy=p35;/東西黃燈sbit dxg=p36;/東西綠燈sbit dxr=p37;/東西紅燈uchar code table=0x3f,0x06,0x5b,

30、0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f;/數(shù)字的代碼從0-9void init();/初始化子程序的申明void displaynb(uchar nbshi,uchar nbge);/顯示子程序的申明void delay(uint z);/延時(shí)子程序的申明void fenjienb();/南北數(shù)碼管顯示數(shù)字的分解函數(shù)void fenjiedx();/東西數(shù)碼管顯示數(shù)字的分解函數(shù)void main()init();/初始化子程序while(1) if(cc=82)/循環(huán)一次結(jié)束cc=0;/從新進(jìn)行下一次循環(huán)void delay(uint z)/帶參數(shù)的延時(shí)函數(shù)uch

31、ar x,y;/定義兩個(gè)變量for(x=z;x0;x-)/循環(huán)延時(shí)for(y=110;y0;y-);/循環(huán)延時(shí)void init()/初始化子程序ea=1;/開總中斷et0=1;/允許定時(shí)器0中斷ex0=1;/允許外部中斷0中斷tr0=1;/啟動定時(shí)器0tmod=0x01;/設(shè)置定時(shí)器0工作方式1th0=(65536-50000)/256;/給定時(shí)器的高8為賦初值tl0=(65536-50000)%256;/給定時(shí)器的低8為賦初值void fenjienb()/南北數(shù)碼管顯示數(shù)字的分解函數(shù)nbshi=nbtemp/10;/將要顯示的時(shí)間的十位賦給變量nbge=nbtemp%10;/將要顯示的時(shí)

32、間的個(gè)位賦給變量nbtemp-;void fenjiedx()/東西數(shù)碼管顯示數(shù)字的分解函數(shù)dxshi=dxtemp/10;dxge=dxtemp%10;dxtemp-;void displaynb(uchar nbshi,uchar nbge)/帶參數(shù)的數(shù)碼管顯示函數(shù) /顯示南北十位p2=0xfe;p0=tablenbshi;delay(5);/顯示南北個(gè)位p2=0xfd;p0=tablenbge;delay(15);void displaydx(uchar dxshi,uchar dxge)/東西十位p2=0xfb;p1=tabledxshi;delay(5);/東西個(gè)位p2=0xf7;p

33、1=tabledxge;delay(5); void timer0() interrupt 1/定時(shí)器0的中斷函數(shù)th0=(65536-50000)/256;/重裝計(jì)數(shù)初值tl0=(65536-50000)%256;/重裝計(jì)數(shù)初值aa+;if(aa=20)/判斷定時(shí)1分鐘是否到aa=0;/計(jì)數(shù)次數(shù)清0 if(cc=0)/南北亮紅燈40秒,東西亮黃燈5秒dxy=0;/東西的黃燈亮dxg=1;/東西的綠燈不亮dxr=1;/東西的紅燈不亮nby=1;/南北的黃燈不亮nbg=1;/南北的綠燈不亮nbr=0;/南北的紅燈亮dxtemp=5;/東西的黃燈亮5秒nbtemp=40;/南北的紅燈亮40秒else if(cc=6)/南北繼續(xù)亮紅燈40秒,東西亮綠燈34秒dxy=1;/東西的黃燈不亮dxg=0;/東西的綠燈亮dxr=1;/東西的紅燈不亮nby=1;/南北的黃燈不亮nbg=1;/南北的綠燈不亮nbr=0;/南北的紅燈亮dxtemp=34;/東西的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論