下載本文檔
版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、eda課程8位數(shù)碼管掃描顯示的設(shè)計(jì)專(zhuān)業(yè): 電子信息工程 學(xué)號(hào): 2010040209 姓名: 郭亞兵 一、系統(tǒng)方案論證如下圖(1)所示的是8位數(shù)碼掃描顯示電路,其中每個(gè)數(shù)碼管的8個(gè)段:h, g, f, e, d, c, b, a(h是小數(shù)點(diǎn))都分別連在一起,8個(gè)數(shù)碼管分別由8個(gè)選通信號(hào)k1, k2, k3,k8來(lái)選擇。被選通的數(shù)碼管顯示數(shù)據(jù),其余關(guān)閉。如在某一時(shí)刻,k2為高電平,其余選通信號(hào)為低電平,這是僅k3對(duì)應(yīng)的數(shù)碼管顯示來(lái)自段信號(hào)端的數(shù)據(jù),其余7個(gè)數(shù)碼管呈現(xiàn)關(guān)閉狀態(tài)。根據(jù)這種電路狀況,如果希望在8個(gè)數(shù)碼管顯示希望的數(shù)據(jù),就必須使得8個(gè)選通信號(hào)k1, k2, k3,k8分別被單獨(dú)選通,并在
2、此同時(shí),在段信號(hào)輸入口加上希望在該對(duì)應(yīng)數(shù)碼管上顯示的數(shù)據(jù),于是隨著選通信號(hào)的掃變,就能實(shí)現(xiàn)掃描顯示的目的。一、二、實(shí)驗(yàn)原理與內(nèi)容實(shí)驗(yàn)原理:1 每個(gè)數(shù)碼管的8個(gè)段:hgfedcba(h是小數(shù)點(diǎn))都分別連在一起, 8個(gè)數(shù)碼管分別由8個(gè)選通信號(hào)k1.k2.。k8選擇,被選通的數(shù)碼管(高電平)顯示數(shù)據(jù),其余關(guān)閉。如在某一時(shí)刻,k3為高電平,其余為低電平,這時(shí)僅k3對(duì)應(yīng)的數(shù)碼管顯示來(lái)自段信號(hào)端的數(shù)據(jù),其余的都關(guān)閉。據(jù)此,就必須使8個(gè)選通信號(hào)分別被單獨(dú)選通,并同時(shí)在段信號(hào)輸入口加上希望顯示的數(shù)據(jù),就能實(shí)現(xiàn)功能 。 2 clk 是掃描時(shí)鐘,sg 為7段控制信號(hào),由高位之低位分別接gfedcba7個(gè)段,bt是
3、位選控制信號(hào)。cnt8是一個(gè)3位計(jì)數(shù)器,做掃描計(jì)數(shù)信號(hào),由進(jìn)程p2生成。進(jìn)程p3是7段譯碼查表輸出程序,進(jìn)程p1 是對(duì)8個(gè)數(shù)碼管選通的掃描程序。例如當(dāng)cnt8=001時(shí),k2對(duì)應(yīng)的數(shù)碼管被選通,同時(shí)a被賦值2,再由進(jìn)程p3譯碼輸出1001111,顯示在數(shù)碼管上即為2,。當(dāng)cnt8掃變時(shí),將能在8個(gè)數(shù)碼管上顯示23408148. 3 本次試驗(yàn)不顯示小數(shù)點(diǎn),可把sg段控制信號(hào)向量變?yōu)?位(最高位代表小數(shù)點(diǎn)),并在最高位設(shè)置成恒0低電平。圖41 動(dòng)態(tài)數(shù)碼掃描顯示硬件電路原理圖三、實(shí)驗(yàn)步驟1、調(diào)出調(diào)出預(yù)先編好的的源程序,檢查是否正確;在quartus 中新建一個(gè)工程命名為scan_led,將預(yù)先編輯好
4、的程序復(fù)制入vhdl文件中,保存并命名為scan_led。2、編譯、仿真程序;點(diǎn)擊start complilation按鈕進(jìn)行全程仿真沒(méi)有錯(cuò)誤后開(kāi)始進(jìn)行硬件仿真,首先進(jìn)行引腳鎖定,按照實(shí)驗(yàn)講義中的器件引腳選擇模式6,鎖定引腳后如下圖所示。3、引腳鎖定完成后,開(kāi)始下載生成的sof文件,會(huì)發(fā)現(xiàn)數(shù)碼管開(kāi)始顯示23408148,實(shí)驗(yàn)成功。四、實(shí)驗(yàn)報(bào)告1、寫(xiě)出實(shí)驗(yàn)源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-entity scan_led is port ( clk : in std_logic
5、; sg : out std_logic_vector(7 downto 0); -段控制信號(hào)輸出 bt : out std_logic_vector(7 downto 0) ); -位控制信號(hào)輸出 end scan_led;-architecture one of scan_led is signal cnt8 : std_logic_vector(2 downto 0); signal a : integer range 0 to 9;beginp1: process( cnt8 ) begin case cnt8 is when 000 = bt = 00000001 ;a bt = 0
6、0000010 ;a bt = 00000100 ;a bt = 00001000 ;a bt = 00010000 ;a bt = 00100000 ;a bt = 01000000 ;a bt = 10000000 ;a null ; end case ; end process p1; p2: process(clk) begin if clkevent and clk = 1 then cnt8 sg sg sg sg sg sg sg sg sg sg sg sg sg sg sg sg null ; end case ; end process p3; end one; 2、實(shí)驗(yàn)結(jié)
7、果:將生成的sof文件通過(guò)下載線下載到實(shí)驗(yàn)箱中,正確顯示了自己的學(xué)號(hào)。改變時(shí)鐘頻率,可以得到不同的效果,如低頻率時(shí)數(shù)碼管逐個(gè)顯示,高頻率時(shí)數(shù)碼管逐個(gè)顯示頻率很快,變成了同時(shí)顯示。3、心得體會(huì): 1本次實(shí)驗(yàn)要想成功,首先需要知道以下知識(shí):位控制端是控制哪個(gè)數(shù)碼管顯示。段控制端輸入根據(jù)每個(gè)數(shù)碼管的7個(gè)段輸入的電平高低而發(fā)亮,顯示所需要的數(shù)據(jù) 2本實(shí)驗(yàn)用到3個(gè)進(jìn)程,進(jìn)程p1 是對(duì)8個(gè)數(shù)碼管選通的掃描程序,進(jìn)程p2做掃描計(jì)數(shù)信號(hào),進(jìn)程p3是7段譯碼查表輸出程序。這給初學(xué)者一個(gè)啟發(fā),在編寫(xiě)復(fù)雜的程序時(shí),也可以按照這種方式實(shí)現(xiàn)。 3通過(guò)改變段選值可以使數(shù)碼管顯示不同的數(shù)值。所以顯示自己的學(xué)號(hào)加100,只需
8、要把程序a值改變即可。 4通過(guò)改變clock0的頻率可產(chǎn)生不同的顯示效果。 五、問(wèn)題及思考 掃描在視頻顯示中的應(yīng)用:掃描顯示是通過(guò)把畫(huà)面劃分成很多很多幀來(lái)實(shí)現(xiàn)的。每一幀都是靜止的圖象,快速連續(xù)地顯示幀便形成了運(yùn)動(dòng)的假象。刷新頻率越快,由于人眼的視覺(jué)停留,就會(huì)得到想要的結(jié)果。這就像是拍攝電影一樣,在拍攝武打動(dòng)作時(shí),實(shí)際中兩人的動(dòng)作是很慢的,但播放時(shí),人為的把速度放快,才得到了我們?nèi)粘I钪邢矚g的那種快動(dòng)作效果。六、課程設(shè)計(jì)體會(huì)與建議6.1、設(shè)計(jì)體會(huì)課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合能力,運(yùn)用所學(xué)知識(shí)、發(fā)現(xiàn)、提出、分析和解決問(wèn)題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體實(shí)訓(xùn)和考擦過(guò)程?;仡欉@次課程設(shè)
9、計(jì),我感慨萬(wàn)千,的確,從選題到定稿,從理論到實(shí)踐,在這些日子里,我學(xué)到了很多東西,不僅可以復(fù)習(xí)以前學(xué)的知識(shí),而且學(xué)到了很多書(shū)本上學(xué)不到的知識(shí)。通過(guò)這次課程設(shè)計(jì)是我懂個(gè)了理論和實(shí)際相結(jié)合起來(lái),從理論中的結(jié)論,把理論應(yīng)用到實(shí)踐中,才能真正的為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和思考能力。再設(shè)計(jì)的過(guò)程中的問(wèn)題很多,但畢竟是第一次做到,難免遇到各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前學(xué)過(guò)的知識(shí)理解不夠深刻,掌握不夠牢固,對(duì)單片機(jī)掌握的不好,還需要更努力學(xué)習(xí)。通過(guò)這次課程設(shè)計(jì)之后,一定把以前所學(xué)過(guò)的知識(shí)從新溫故。通過(guò)這次課程設(shè)我體會(huì)到,干任何事都必須耐心,細(xì)致,課程設(shè)計(jì)中許多問(wèn)題不免令我感到有些心煩意亂,但一想老師對(duì)我們的耐心教導(dǎo),想到自己以后所承擔(dān)的社會(huì)責(zé)任,我不禁提醒自己,一定要養(yǎng)成高度的責(zé)任,良好的習(xí)慣,這次課程設(shè)計(jì)為我以后的工作得到一定
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024年度年福建省高校教師資格證之高等教育心理學(xué)能力測(cè)試試卷A卷附答案
- 2024年度山西省高校教師資格證之高等教育法規(guī)每日一練試卷A卷含答案
- 四川省網(wǎng)約配送員職業(yè)技能競(jìng)賽理論考試題及答案
- 三年級(jí)數(shù)學(xué)計(jì)算題專(zhuān)項(xiàng)練習(xí)匯編及答案集錦
- 2024建筑施工協(xié)議代理業(yè)務(wù)規(guī)范稿
- 2024投標(biāo)專(zhuān)用協(xié)議樣本解析
- 基于網(wǎng)絡(luò)空間安全的個(gè)人信息保護(hù)研究
- 2024年復(fù)婚二次離婚協(xié)議規(guī)范樣本
- 2024專(zhuān)業(yè)紅娘服務(wù)會(huì)員協(xié)議
- 2024年度高品質(zhì)防盜門(mén)供應(yīng)協(xié)議范例
- 消防安全-情系你我他
- 短視頻的拍攝與剪輯
- 產(chǎn)品設(shè)計(jì)-淺談智能藍(lán)牙音響的外觀創(chuàng)新設(shè)計(jì)
- 江蘇省南京江寧聯(lián)合體2023-2024學(xué)年八年級(jí)上學(xué)期期中考試英語(yǔ)試卷
- 快速康復(fù)外科(ERAS)護(hù)理
- 醫(yī)療機(jī)構(gòu)安全檢查表
- 第六章-巷道支護(hù)01
- 應(yīng)急管理法律法規(guī)及國(guó)標(biāo)行標(biāo)清單
- 監(jiān)理規(guī)劃、監(jiān)理細(xì)則審批表
- 香菇種植示范基地項(xiàng)目可行性策劃實(shí)施方案
- 施工現(xiàn)場(chǎng)材料使用明細(xì)表
評(píng)論
0/150
提交評(píng)論