EDA課程設(shè)計(jì)說明書病房呼叫系統(tǒng)_第1頁
EDA課程設(shè)計(jì)說明書病房呼叫系統(tǒng)_第2頁
EDA課程設(shè)計(jì)說明書病房呼叫系統(tǒng)_第3頁
EDA課程設(shè)計(jì)說明書病房呼叫系統(tǒng)_第4頁
EDA課程設(shè)計(jì)說明書病房呼叫系統(tǒng)_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、燕山大學(xué)課 程 設(shè) 計(jì) 說 明 書題目: 病房呼叫系統(tǒng) 學(xué)院(系): 電氣工程學(xué)院 年級專業(yè): 學(xué) 號: 學(xué)生姓名: 指導(dǎo)教師: 教師職稱: 實(shí)驗(yàn)師 燕山大學(xué)課程設(shè)計(jì)(論文)任務(wù)書院(系):電氣工程學(xué)院 基層教學(xué)單位:電子實(shí)驗(yàn)中心 學(xué) 號學(xué)生姓名專業(yè)(班級)設(shè)計(jì)題目病房呼叫系統(tǒng)設(shè)計(jì)技術(shù)參數(shù)用一個數(shù)碼管顯示呼叫信號的號碼沒信號呼叫時顯示0;有多個信號呼叫時,顯示優(yōu)先級最高的呼叫號用5個撥碼開關(guān)設(shè)置功能鍵,1號優(yōu)先級最高,1-5號優(yōu)先級依次降低用指示燈指示對應(yīng)的病房有呼叫,有呼叫時蜂鳴3秒。設(shè)計(jì)要求 用靜態(tài)數(shù)碼管顯示呼叫的病房號 用蜂鳴器發(fā)出蜂鳴工作量學(xué)會使用max+plusii軟件和實(shí)驗(yàn)箱;獨(dú)立

2、完成電路設(shè)計(jì),編程下載、連接電路和調(diào)試;參加答辯并書寫任務(wù)書。工作計(jì)劃1. 了解eda的基本知識,學(xué)習(xí)使用軟件max+plusii,下發(fā)任務(wù)書,開始電路設(shè)計(jì);2. 學(xué)習(xí)使用實(shí)驗(yàn)箱,繼續(xù)電路設(shè)計(jì);3. 完成電路設(shè)計(jì);4. 編程下載、連接電路、調(diào)試和驗(yàn)收;5. 答辯并書寫任務(wù)書。參考資料數(shù)字電子技術(shù)基礎(chǔ).閻石主編.高等教育出版社.eda課程設(shè)計(jì)指導(dǎo)書.指導(dǎo)教師簽字 基層教學(xué)單位主任簽字說明:此表一式四份,學(xué)生、指導(dǎo)教師、基層教學(xué)單位、系部各一份。年 月 日 目 錄第1章 摘要2第2章 引言3第3章 課程設(shè)計(jì)參數(shù)要求及設(shè)計(jì)內(nèi)容3 3.1課程設(shè)計(jì)的目的4 3.2 參數(shù)要求課程設(shè)計(jì)的內(nèi)容及設(shè)計(jì)思路4 3

3、.3病床呼叫系統(tǒng)4 3.4 仿真波形圖10 3.5管腳鎖定11第4章 結(jié)論13第5章 心得體會 14參考文獻(xiàn)15第一章 摘 要呼叫器對于每一個住院的人來說都很重要,尤其對那些在住院期間需要經(jīng)常有護(hù)士護(hù)理的病人來講,呼叫器對醫(yī)院來講也很重要,它能間接的決定醫(yī)院能夠提供給病人的服務(wù)質(zhì)量,但是由于醫(yī)院護(hù)理人員數(shù)量有限,護(hù)士不能時刻保證呆在每一位病人身邊,病床呼叫系統(tǒng)能夠使病人在危急時刻及時的聯(lián)系上醫(yī)生或者護(hù)士,從而得到及時的救治或看護(hù)。所以擁有一套功能完善的病床呼叫系統(tǒng),對于一個提供高質(zhì)量服務(wù)的醫(yī)院來講,是非常重要的。這個設(shè)計(jì)所要求的整個呼叫系統(tǒng)由撥動開關(guān)、控制電路、蜂鳴器、計(jì)時器、指示燈等幾個部分

4、所組成,病人在身邊沒有醫(yī)生但卻需要護(hù)理的時候能夠做到及時的告知醫(yī)務(wù)人員,醫(yī)生也能夠通過該系統(tǒng)準(zhǔn)確的判斷出是哪個床位的病人需要護(hù)理。該系統(tǒng)最突出的一個優(yōu)點(diǎn)是具有優(yōu)先選擇功能,能夠在第一時間做到對最需要救助的人進(jìn)行幫助。 第二章 引 言在本課程設(shè)計(jì)所要求的病床呼叫系統(tǒng)中,若1號病人當(dāng)病人撥動求助開關(guān)時呼叫,則數(shù)碼管顯示1號,同時對應(yīng)床位的led燈亮,蜂鳴器發(fā)出響聲,三秒鐘之后,蜂鳴器停止發(fā)聲。但是led燈和數(shù)碼管仍然顯示,直到醫(yī)生或護(hù)士去到病房解除報(bào)警。由于蜂鳴器聲音比較刺耳,所以只讓蜂鳴器響三秒,三秒過后自動停止,到下一次警報(bào)的時候再響。這樣可以保證醫(yī)院安靜的工作環(huán)境,使病人能夠更好的休息治療。

5、本系統(tǒng)的優(yōu)先呼叫功能即當(dāng)優(yōu)先級較高的病床呼叫時,可以屏蔽其他病床的呼叫,若一號病床的優(yōu)先級最高,當(dāng)1號和2號病床同時呼叫或者1號晚于2號呼叫,數(shù)碼管只顯示1號,系統(tǒng)自動屏蔽了2號的呼叫,只有當(dāng)1號解除警報(bào)時具有下一優(yōu)先級的病床才能呼叫,這樣只要把在出現(xiàn)情況的情況下最急需救助的病人放在優(yōu)先級最高的病床,就可以保證病人優(yōu)先得到治療。第三章 課程設(shè)計(jì)的參數(shù)要求及設(shè)計(jì)內(nèi)容3.1課程設(shè)計(jì)的目的本次課程設(shè)計(jì)要求學(xué)生通過運(yùn)用所學(xué)過的理論知識和積極的思考,設(shè)計(jì)出要求參數(shù)的病房呼叫系統(tǒng)。在分析和設(shè)計(jì)的過程中,鍛煉學(xué)生從理論知識到實(shí)際應(yīng)用的過度能力,3.2 課程設(shè)計(jì)的內(nèi)容及設(shè)計(jì)思路參數(shù)要求:用5個撥碼開關(guān)設(shè)置功能

6、鍵,1號優(yōu)先級最高,1-5號優(yōu)先級依次降低用一個數(shù)碼管顯示呼叫信號的號碼,沒信號呼叫時顯示0;有多個信號呼叫時,顯示優(yōu)先級最高的呼叫號用led燈指示對應(yīng)的病房有呼叫,用靜態(tài)數(shù)碼管顯示呼叫的病房號,用蜂鳴器發(fā)出蜂鳴,有呼叫時蜂鳴3秒。3.3 病床呼叫系統(tǒng)3.3.1病床呼叫系統(tǒng)的組成及原理圖病床呼叫系統(tǒng)由74hc148、反相器及與門構(gòu)成。8線-3線優(yōu)先編碼器74hc148,允許同時輸入兩個及兩個以上的信號,在設(shè)計(jì)優(yōu)先編碼器時已經(jīng)將所有的輸入信號按優(yōu)先順序排了隊(duì),當(dāng)幾個輸入信號同時出現(xiàn)時,只對優(yōu)先級別最高的一個進(jìn)行編碼顯示。74hc148器件圖及其功能表如下: 輸 入 輸 出ein0n 1n 2n

7、3n 4n 5n 6n 7na0n a1n a2n eon gsn1000000000x x x x x xx x1 1 1 1 1 1 1 1x x x x x xx 0 x x x x x x0 1 x x x x x 0 1 1 x x x x 0 1 1 1 x x x 0 1 1 1 1 x x 0 1 1 1 1 1 x 0 1 1 1 1 1 10 1 1 1 1 1 1 11 1 11 1 10 0 00 0 1 0 1 00 1 11 0 01 0 11 1 01 1 11 10 11 01 01 01 01 01 01 01 0 正常工作時ein=0,其中7n0n的優(yōu)先級依

8、次降低,輸出分別對應(yīng)07,本次設(shè)計(jì)要求15號病床輸入信號時分別顯示15,其中1號優(yōu)先級最高,故15號病床輸入分別對應(yīng)6n2n。又因?yàn)?4hc148輸入低電平有效,因此信號輸入端口由反相器和6n2n相連。編碼器正常工作,無信號輸入時,eon=0,有信號輸入時eon=1輸出端口a0n,a1n,a2n分別與eon相與后作為編碼器的輸出信號。這樣便可以實(shí)現(xiàn)當(dāng)有病床呼叫時,通過反相器,優(yōu)先編碼器編譯成相應(yīng)的號碼;當(dāng)無信號輸入時,eon=0,顯示模塊顯示出000的輸出信號。床位呼叫控制系統(tǒng)電路原理圖如下: 3.3.2蜂鳴器呼叫時間系統(tǒng)介紹及原理圖 在有床位呼叫時,蜂鳴器開始響,三秒鐘結(jié)束,本設(shè)計(jì)利用741

9、60十六進(jìn)制計(jì)數(shù)器控制時間。74160為同步十六進(jìn)制計(jì)數(shù)器,通過時鐘信號觸發(fā)從零開始計(jì)數(shù),計(jì)到十五,進(jìn)位端輸出高電平同時狀態(tài)回到零重新計(jì)數(shù)。芯片有異步置零端,和異步置位,可以接成小于十六進(jìn)制的任意進(jìn)制計(jì)數(shù)器,其芯片圖和真值表如下:計(jì)數(shù)器本次設(shè)計(jì)用1hz的時鐘頻率,用一個74160接成3進(jìn)制計(jì)數(shù)器,進(jìn)位端取反與時鐘信號相與,接到74160的時鐘信號輸入端口。當(dāng)有進(jìn)位時,即進(jìn)位輸出為1時,取反即為0,與時鐘信號相與后仍然是0。由于沒有時鐘信號的輸入,74160停止工作,即停止計(jì)數(shù)。從開始計(jì)數(shù)到停止計(jì)數(shù)時間為三秒鐘。病床控制模塊中的三個輸出端口,取或,輸出為y,既與74160的clrn(異步置零端)

10、相連,又和74160進(jìn)位取反后的輸出相與后接蜂鳴器。沒有病床呼叫時,y=0,此時蜂鳴器不響,74160的初態(tài)均為零,進(jìn)位也為零,取反為1;當(dāng)有病床呼叫時,y=1與進(jìn)位取反相與為1,即蜂鳴器開始響。計(jì)數(shù)器開始工作,三秒鐘之后,進(jìn)位端輸出1,取反為0,與時鐘信號相與為0,計(jì)數(shù)器停止工作,同時,蜂鳴器停止蜂鳴。直到下一次病床呼叫再開始工。蜂鳴器呼叫時間控制系統(tǒng)電路圖如下:3.3.3系統(tǒng)顯示及原理圖系統(tǒng)顯示包括led燈顯示和數(shù)碼管顯示兩部分。 led燈顯示模塊led燈顯示模塊電路圖如下:當(dāng)有病床呼叫時,通過病床呼叫控制模塊輸出相應(yīng)的二進(jìn)制病床號001101,通過74138 3線8線譯碼器譯出y1y5相

11、應(yīng)的高低電平,控制led 燈的亮滅。y1y5中某個低電平時對應(yīng)led15中某個燈亮。74hc138芯片介紹:74hc138為3線-8線譯碼器,將輸入的一組二進(jìn)制代碼轉(zhuǎn)換為一組與輸入代碼一一對應(yīng)的高低電平信號74hc138及其功能表如下: 輸 入 輸 出g1g2an+g2bnc b ay0n y1n y2n y3n y4n y5n y6n y7n0x11111111 x 1 0 0 0 0 0 000x x xx x x0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 10 1 1 1 1 1 1 11 0

12、1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0數(shù)碼管顯示模塊病床呼叫控制模塊的輸出端,通過管腳鎖定,與試驗(yàn)箱數(shù)碼管相連接,顯示呼叫的病床號碼。3.3.4蜂鳴器模塊蜂鳴器模塊含有一個蜂鳴器和一個線跳器,當(dāng)使用蜂鳴時,此跳線短接。同時獨(dú)立擴(kuò)展下載板cpld/fpga的jp2/cf的speaker接高電平時,蜂鳴器工作。將系統(tǒng)輸出端口s經(jīng)管腳鎖定到“cpld/fpga擴(kuò)展板”的pin38號管腳如下圖所示。輸出端s高電平時蜂鳴器工作。系統(tǒng)原理圖連接

13、如下:3.4仿真波形圖3.5管腳鎖定連接好的原理圖的輸入與輸出端口必須經(jīng)過管腳鎖定與“cpld/fpga擴(kuò)展板”相連接,下邊簡要介紹床呼叫系統(tǒng)的管腳鎖定3.5.1輸入端口管腳鎖定 輸入端口15管腳鎖定 時鐘信號輸入管腳鎖定3.5.1輸出端口管腳鎖定 數(shù)碼管輸出信號管腳鎖定 led燈輸出信號管腳鎖定 蜂鳴器輸出端口管腳鎖定第四章 結(jié) 論在得到這個課題后,通過翻閱相關(guān)書籍,積極的思考以及用max+plus ii軟件進(jìn)行電路設(shè)計(jì),電路波形仿真,管腳鎖定,芯片連接等過程,實(shí)現(xiàn)了課程設(shè)計(jì)的要求。當(dāng)有病床在呼叫時,對應(yīng)病床的led燈就會變亮,假如一號病人按下開關(guān),則一號燈就會變亮,以提示醫(yī)務(wù)工作人員是一號

14、床位的病人需要得到救助,同時,數(shù)碼管會顯示對應(yīng)的病床號。若二號先按下開關(guān),則二號的燈會變亮,同時數(shù)碼管會顯示2,若此時優(yōu)先級高于二號的一號床位的病人也按下了撥碼開關(guān),則二號的led燈就會熄滅,同時一號的led燈會變亮。若優(yōu)先級是由一號到五號依次降低,則在同時有兩個至五個病人按下?lián)艽a開關(guān)時,優(yōu)先級最高的led燈會亮,直到醫(yī)務(wù)人員去關(guān)掉它,數(shù)碼管也只會顯示優(yōu)先級最高的床位號,同時蜂鳴器會蜂鳴三秒鐘然后停止發(fā)聲。 在成功的進(jìn)行驗(yàn)箱后,可以說基本的完成了課程設(shè)計(jì)的功能要求。第五章 心得體會一周的eda課程設(shè)計(jì),讓我對max plus ii軟件的使用有了基本的掌握,在對題目的分析過程中,對以前所學(xué)的知識

15、也有個回顧的過程而且對相關(guān)內(nèi)容有個更深層級的理解和領(lǐng)悟。在使用max plus ii軟件畫電路圖、檢測電路及電路仿真的過程中,對自己將理論知識運(yùn)用到實(shí)際已經(jīng)形成嚴(yán)謹(jǐn)思維都有很大的幫助。通過翻閱相關(guān)資料,對組合邏輯電路設(shè)計(jì),時序邏輯電路設(shè)計(jì)有了更進(jìn)一步的認(rèn)識。驗(yàn)箱過程也對自己的動手能力有了一定程度的鍛煉。 在設(shè)計(jì)的過程中,遇到了不少問題,同學(xué)的講解給我了很大的幫助,讓我進(jìn)一步認(rèn)識到了同學(xué)的幫助及相互合作的重要性。這個課程設(shè)計(jì)對自己的思考及動手能力的提高有一定的幫助,希望學(xué)校以后能夠提供更多類似的機(jī)會,讓我們在步入社會之前得到最大程度的鍛煉。參考文獻(xiàn)1. 閻 石 數(shù)字電子技術(shù)基礎(chǔ) 高等教育出版社 2006年5月2. 周蓮蓮 鄭兆兆 李艷艷 eda課程設(shè)計(jì)b指導(dǎo)書 2010年 燕山大

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論