點(diǎn)陣LED顯示的設(shè)計(jì)報(bào)告_第1頁(yè)
點(diǎn)陣LED顯示的設(shè)計(jì)報(bào)告_第2頁(yè)
點(diǎn)陣LED顯示的設(shè)計(jì)報(bào)告_第3頁(yè)
點(diǎn)陣LED顯示的設(shè)計(jì)報(bào)告_第4頁(yè)
點(diǎn)陣LED顯示的設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩9頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1課程設(shè)計(jì)指標(biāo)(1)實(shí)現(xiàn)點(diǎn)陣LED的動(dòng)態(tài)掃描。 (2)在點(diǎn)陣LED顯示學(xué)生自己的中文名字、班級(jí)和學(xué)號(hào)。(3)兩個(gè)功能鍵:1)、啟動(dòng)顯示,2)、停止顯示。2課程設(shè)計(jì)的總體方案2.1 方案比較在1616LED發(fā)光二極管點(diǎn)陣上顯示漢字的方式有兩種:第一種方法是滾屏顯示學(xué)生班級(jí),姓名,學(xué)號(hào),另一種方法是每次顯示一個(gè)漢字,一秒鐘后刷新顯示第二個(gè)漢字,再一秒鐘后刷新顯示第三個(gè)漢字,依次刷新顯示班級(jí)和學(xué)號(hào)數(shù)。循環(huán)顯示以上內(nèi)容。對(duì)于前一種方案,可以依次對(duì)多漢字抽取像素信息,并按順序排隊(duì)存放于ROM之中,這樣便可得到一個(gè)待顯示數(shù)據(jù)序列。然后通過(guò)尋址的方法來(lái)控制該數(shù)據(jù)序列的釋放過(guò)程,就可實(shí)現(xiàn)在1616 LED 發(fā)

2、光二極管點(diǎn)陣上滾動(dòng)顯示多漢字信息的目的。這種方法雖然看起來(lái)比較簡(jiǎn)單,但是實(shí)現(xiàn)起來(lái)卻有許多困難,由于滾屏顯示是將需要顯示的內(nèi)容當(dāng)成一個(gè)整體,即是在行方向上看成許多漢字排成一排,然后通過(guò)指針順序控制漢字的顯示,而對(duì)于在VHDL語(yǔ)言中指針的使用這方面的內(nèi)容我們接觸不多,沒(méi)有經(jīng)驗(yàn),因此做起來(lái)比較困難。而且,如果滾屏顯示時(shí)間把握得不好,那么顯示的效果將會(huì)受到很大的影響。而采用刷新顯示對(duì)時(shí)間方面要求比較低,容易控制。而且刷新顯示比較符合我們的習(xí)慣。2.2 方案選擇 通過(guò)上述比較,我們決定采用方案二。3設(shè)計(jì)的詳細(xì)原理3.1 系統(tǒng)結(jié)構(gòu)及工作原理LED點(diǎn)陣顯示控制的傳統(tǒng)方式是采用單片機(jī)或系統(tǒng)機(jī)作為CPU來(lái)實(shí)現(xiàn),

3、當(dāng)系統(tǒng)顯示的信息比較多時(shí),由于單片機(jī)的輸入/輸出端口(I/O)有限,采用此方式的成本將大大增加,系統(tǒng)和程序的設(shè)計(jì)難度也急劇增加;而且,當(dāng)系統(tǒng)完成后修改、改變顯示方式或擴(kuò)展時(shí),所需改動(dòng)的地方比較大,甚至有可能需要重新設(shè)計(jì);另外,在以顯示為主的系統(tǒng)中,單片機(jī)的運(yùn)算和控制等主要功能的利用率很低,單片機(jī)的優(yōu)勢(shì)得不到發(fā)揮,相當(dāng)于很大得資源浪費(fèi)。如果采用現(xiàn)場(chǎng)可編程邏輯器件作為CPU來(lái)設(shè)計(jì)控制器,選擇合適的器件,利用器件豐富的I/O口、內(nèi)部邏輯和連線資源,采用自頂而下的模塊化設(shè)計(jì)方法,可以方便地設(shè)計(jì)整個(gè)顯示系統(tǒng)。由于PLD器件的外圍器件很少,且可以利用PLD的編程端口(可復(fù)用)進(jìn)行在系統(tǒng)編程,使得系統(tǒng)的修改

4、、顯示方式的改變和擴(kuò)展都變的非常簡(jiǎn)單、方便。本系統(tǒng)采用單個(gè)1616LED點(diǎn)陣逐列左移(或右移)顯示漢字或字符,需顯示漢字或符號(hào)的1616點(diǎn)陣字模已經(jīng)存放在字模存儲(chǔ)器中。顯示控制器由復(fù)雜可編程邏輯器件(CPLD)EPM7128SLC84-15來(lái)實(shí)現(xiàn),系統(tǒng)組成原理框圖如圖1所示。系統(tǒng)原理是PLD控制模塊首先產(chǎn)生點(diǎn)陣字模地址,并從存儲(chǔ)器讀出數(shù)據(jù)存放在16位寄存器中,然后輸出到LED點(diǎn)陣的列,同時(shí)對(duì)點(diǎn)陣列循環(huán)掃描以動(dòng)態(tài)顯示數(shù)據(jù),當(dāng)需要顯示數(shù)據(jù)字模的列和被選中的列能夠協(xié)調(diào)配合起來(lái),就可以正確顯示漢字或符號(hào)。 圖1點(diǎn)陣顯示控制器原理框圖3.2 控制器設(shè)計(jì)及工作原理從框圖中可以看出,系統(tǒng)的關(guān)鍵在于控制器的設(shè)

5、計(jì)。LED點(diǎn)陣顯示數(shù)據(jù)地址的產(chǎn)生、點(diǎn)陣列掃描和需顯示數(shù)據(jù)的配合以及點(diǎn)陣顯示方式控制的實(shí)現(xiàn)都必須由控制器來(lái)實(shí)現(xiàn)。對(duì)單個(gè)1616LED點(diǎn)陣顯示控制器進(jìn)行設(shè)計(jì)的頂層邏輯原理圖如圖2所示。圖2 控制器頂層電路原理圖原理圖中包含5個(gè)模塊,其中sequ模塊產(chǎn)生讀信號(hào)RDN和10位地址線(AD9.0)中的最低位地址AD0,AD0和其它模塊產(chǎn)生的地址配合,通過(guò)8位數(shù)據(jù)線(DATA7.0)從存儲(chǔ)器讀出列高字節(jié)(AD0=1時(shí))和低字節(jié)(AD0=0時(shí)),由于1616點(diǎn)陣字模數(shù)據(jù)為32個(gè)字節(jié),每列含兩個(gè)字節(jié)即16位,它由HOUT7.0和LOUT7.0 來(lái)構(gòu)成;模塊R由adclk提供一個(gè)慢時(shí)鐘構(gòu)成16進(jìn)制計(jì)數(shù)器,它的輸

6、出送給R模塊,為變模計(jì)數(shù)器R提供一個(gè)模,通過(guò)模的規(guī)律變化以控制點(diǎn)陣按照左移或右移等顯示方式進(jìn)行顯示;模塊decode4_16是一個(gè)416譯碼器,其輸出L15.0連接到LED點(diǎn)陣的列,可選中1616LED點(diǎn)陣的某列,并顯示sequ模塊輸出的點(diǎn)陣高低字節(jié)(字模)數(shù)據(jù);模塊R為點(diǎn)陣顯示控制的核心,為了實(shí)現(xiàn)點(diǎn)陣漢字從右到左逐列移動(dòng)顯示,它由R模塊提供的模,在R內(nèi)部構(gòu)成兩個(gè)變模計(jì)數(shù)器,其中一個(gè)用來(lái)產(chǎn)生讀字模數(shù)據(jù)的地址AD4.1,另外一個(gè)產(chǎn)生1616LED點(diǎn)陣列掃描選擇地址R3.0,列掃描選擇地址由decode4_16譯碼后輸出;模塊rdd為字選擇計(jì)數(shù)器,其輸出可以控制多塊LED顯示器的顯示及其顯示方式。

7、為了實(shí)現(xiàn)字符由右到左逐列移動(dòng)顯示,模塊R內(nèi)部設(shè)計(jì)了兩個(gè)由R控制的變模加法計(jì)數(shù)器,其中R3.0為列掃描控制線。3.3 系統(tǒng)擴(kuò)展以上為顯示單個(gè)字符系統(tǒng),若要同時(shí)顯示多個(gè)字符時(shí),可以按照?qǐng)D1加入虛線框內(nèi)部分,并且模塊rdd設(shè)計(jì)成R的格式,把AD4作為rdd的記數(shù)脈沖即可。按照EPM7128SLC8415的資源(64個(gè)I/O口,2個(gè)全局時(shí)鐘,1個(gè)全局復(fù)位和5個(gè)可復(fù)用專(zhuān)用端口,5000個(gè)等效邏輯門(mén),192個(gè)內(nèi)部寄存器),若不外部擴(kuò)展譯碼器,可以有效控制約16個(gè)字符的顯示;而采用外部譯碼器時(shí),可以控制的字符數(shù)將大大增加,但須注意時(shí)鐘CLK的頻率需要提高,以視覺(jué)不能看到整個(gè)字符的閃爍為基準(zhǔn)。4系統(tǒng)軟件設(shè)計(jì)控

8、制核心模塊R采用VHDL語(yǔ)言設(shè)計(jì),在開(kāi)發(fā)軟件MAX+plus 10.2中實(shí)現(xiàn),詳細(xì)程序見(jiàn)附錄A。從程序可以看出,隨著R從0000到1111不斷變化,即實(shí)現(xiàn)了系統(tǒng)時(shí)鐘對(duì)點(diǎn)陣LED的不停掃描。當(dāng)R=0000時(shí),即讀出第一個(gè)字符的第一列并顯示在LED的第1列;當(dāng)R=0001時(shí),即讀出第一個(gè)字符的第一、二列并顯示在LED的第1、2列由此類(lèi)推,由于系統(tǒng)掃描時(shí)鐘為1KHz,掃描速度比較快,因此,當(dāng)完成一次掃描時(shí),在人眼視覺(jué)差的作用下會(huì)看到整個(gè)的字符好像是靜態(tài)顯示的一樣。然后,在通過(guò)控制rdd按一定時(shí)間在一定的范圍順序自增,每自增1則顯示一個(gè)漢字,這樣就能實(shí)現(xiàn)多個(gè)漢字的刷新顯示了。5設(shè)計(jì)的仿真和運(yùn)行結(jié)果以上

9、程序在MAX+plus10.2上仿真驗(yàn)證結(jié)果如圖3所示。 圖3 R模塊仿真時(shí)序圖在設(shè)計(jì)中,應(yīng)注意模塊sequ的記數(shù)時(shí)鐘CLK頻率的選擇應(yīng)遠(yuǎn)遠(yuǎn)大于模塊R的記數(shù)時(shí)鐘adclk的頻率,R的記數(shù)時(shí)鐘為地址最低位AD0,字選擇計(jì)數(shù)器的時(shí)鐘脈沖為16進(jìn)制模塊R的最高位OUT3。這樣, R3.0變化的足夠快,在點(diǎn)陣LED上可以看到完整的字符,并當(dāng)R記數(shù)到15產(chǎn)生進(jìn)位返回到0時(shí),字選擇模塊rdd獲得一個(gè)記數(shù)脈沖并加1(上升沿觸發(fā)),此后將顯示下一個(gè)字符。6、結(jié)論以上點(diǎn)陣字符顯示系統(tǒng)在開(kāi)發(fā)軟件MAX+plus10.2上經(jīng)仿真驗(yàn)證無(wú)誤。另外,由于器件含有豐富的可編程連線資源,當(dāng)系統(tǒng)顯示方式和顯示字符個(gè)數(shù)變化時(shí),只

10、需要通過(guò)開(kāi)發(fā)工具修改控制器的控制邏輯和連接關(guān)系,再將修改完成的程序通過(guò)下載電纜下載到器件即可,而電路板可以不做任何改動(dòng),可見(jiàn),系統(tǒng)的維護(hù)和修改是極其方便和容易的。當(dāng)然,由于CLPD的驅(qū)動(dòng)能力有限,當(dāng)點(diǎn)陣LED顯示亮度不夠時(shí),需要添加LED驅(qū)動(dòng)電路以得到合適的LED顯示亮度。7.心得體會(huì)兩周的EDA課程設(shè)計(jì)時(shí)間雖然很短暫,但我從中獲益匪淺.首先對(duì)EDA這門(mén)課程有了更深刻的了解,因?yàn)檎n程設(shè)計(jì)本身要求將以前所學(xué)的理論知識(shí)運(yùn)用到實(shí)際的電路程序設(shè)計(jì)當(dāng)中去,在設(shè)計(jì)的過(guò)程中,我無(wú)形中便加深了對(duì)VHDL語(yǔ)言的了解及運(yùn)用能力,并且對(duì)課本以及以前學(xué)過(guò)的知識(shí)有了一個(gè)更好的總結(jié)與理解;以前的EDA實(shí)驗(yàn)只是針對(duì)某一個(gè)小

11、的功能設(shè)計(jì),而EDA課程設(shè)計(jì)對(duì)我們的總體電路的設(shè)計(jì)的要求更嚴(yán)格,需要通過(guò)翻閱復(fù)習(xí)以前學(xué)過(guò)的知識(shí)確立了實(shí)驗(yàn)總體設(shè)計(jì)方案,然后逐步細(xì)化進(jìn)行各模塊的設(shè)計(jì);其次,在程序仿真的過(guò)程中總會(huì)出現(xiàn)一些問(wèn)題,需要我們細(xì)心解決,所以這兩周下來(lái),我對(duì)程序故障的排查能力有了很大的提高;再次,通過(guò)此次課程設(shè)計(jì),我對(duì)Altera公司的MAX+plus軟件從編譯、排錯(cuò)到波形仿真、下載到FPGA器件上仿真都有了一定的掌握。也加強(qiáng)了發(fā)現(xiàn)問(wèn)題,解決問(wèn)題的能力,同時(shí)還學(xué)會(huì)了和他人的有效合作、高效率的解決問(wèn)題,這對(duì)我們以后的工作和學(xué)習(xí)的幫助都很有用處。參考文獻(xiàn)電子技術(shù)實(shí)驗(yàn)指導(dǎo)書(shū),李國(guó)麗,朱維勇主編,中國(guó)科技大學(xué)出版社。電子技術(shù)基礎(chǔ)

12、模擬部分(第四版),康華光主編,高教出版社。數(shù)字電子技術(shù)基礎(chǔ)(第四版),閻石主編,高教出版社。EDA技術(shù)基礎(chǔ),譚會(huì)生主編,湖南大學(xué)出版社。EDA技術(shù)實(shí)用教程,李洋主編,機(jī)械工業(yè)出版社。附錄A 程序清單library IEEE; use IEEE.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity dot_led is port(clk :in std_logic; -系統(tǒng)時(shí)鐘(1KHz) key: in std_logic_vector(1 downto 0);-功能鍵,key=01,啟動(dòng)顯示;key=10,停止顯示 R:out

13、std_logic_vector(3 downto 0); -點(diǎn)陣LED行輸入,當(dāng)R=0000, 表示第一列有效. L:out std_logic_vector(15 downto 0); -點(diǎn)陣LED列輸入 end dot_led; architecture display of dot_led is signal rdd:std_logic_vector(3 downto 0);-控制顯示一個(gè)漢字或字符的時(shí)間 signal ldd:std_logic_vector(3 downto 0);-ldd用來(lái)選擇掃描的列 signal cnt0: integer range 0 to 2000;

14、signal temp: std_logic_vector(1 downto 0); begin process(clk,key) begin if (key=11) then cnt01999 then cnt0=0;temp=key; else cnt0=cnt0+1; end if; end if; end process; process(clk) variable cnt: integer; variable tmp:std_logic_vector(3 downto 0); begin if clkevent and clk=1 then if cnt then cnt:=cnt+

15、1; else cnt:=0; if tmp=1100 then tmp:=0000; else tmp:=tmp+1; end if; end if; end if; rdd=tmp; end process; process(clk) variable tmb:std_logic_vector(3 downto 0); begin if clkevent and clk=1 then if tmb=1111 then tmb:=0000; else tmb:=tmb+1; end if; end if; ldd R=0000; L R=0001; L R=0010; L R=0011; L

16、 R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=0001and temp=01 then -子 case ldd is when 0000 = R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010

17、; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=0010and temp=01 then -06 case ldd is when0000 = R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case

18、; elsif rdd=0011and temp=01 then -02 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=0100and temp=01 then -班 case ldd is when 0000= R=0000;

19、L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=0101and temp=01 then -吳 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111

20、; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=0110and temp=01 then -云 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=11

21、10; L R=1111; Lnull; end case; elsif rdd=0111and temp=01 then -20 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=1000and temp=01 then -06 c

22、ase ldd is when0000 = R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=1001and temp=01 then -57 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=010

23、0; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=1010and temp=01 then -17 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=

24、1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif rdd=1011and temp=01 then -02 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsi

25、f rdd=1100and temp=01 then -31 case ldd is when 0000= R=0000; L R=0001; L R=0010; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; elsif temp=10 then -關(guān)閉 case ldd is when 0000= R=0000; L R=0001; L R=001

26、0; L R=0011; L R=0100; L R=0101; L R=0110; L R=0111; L R=1000; L R=1001; L R=1010; L R=1011; L R=1100; L R=1101; L R=1110; L R=1111; Lnull; end case; end if; end process;end display;tgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGt

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論