基于單片機(jī)C語(yǔ)言電子時(shí)鐘完整版(鬧鐘整點(diǎn)報(bào)時(shí))_第1頁(yè)
基于單片機(jī)C語(yǔ)言電子時(shí)鐘完整版(鬧鐘整點(diǎn)報(bào)時(shí))_第2頁(yè)
基于單片機(jī)C語(yǔ)言電子時(shí)鐘完整版(鬧鐘整點(diǎn)報(bào)時(shí))_第3頁(yè)
基于單片機(jī)C語(yǔ)言電子時(shí)鐘完整版(鬧鐘整點(diǎn)報(bào)時(shí))_第4頁(yè)
基于單片機(jī)C語(yǔ)言電子時(shí)鐘完整版(鬧鐘整點(diǎn)報(bào)時(shí))_第5頁(yè)
已閱讀5頁(yè),還剩22頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、提供全套畢業(yè)設(shè)計(jì),歡迎咨詢 單片機(jī)技術(shù)課程設(shè)計(jì)說(shuō)明書(shū) 數(shù) 字 電 子 鐘 系 、 部: 電氣與信息工程學(xué)院 學(xué)生姓名: 指導(dǎo)教師: 職稱(chēng) 專(zhuān) 業(yè): 班 級(jí): 完成時(shí)間: 2013-06-07 摘 要電子鐘在生活中應(yīng)用非常廣泛,而一種簡(jiǎn)單方便的數(shù)字電子鐘則更能受到人們的歡迎。所以設(shè)計(jì)一個(gè)簡(jiǎn)易數(shù)字電子鐘很有必要。本電子鐘采用atmel公司的at89s52單片機(jī)為核心,使用12mhz 晶振與單片機(jī)at89s52 相連接,通過(guò)軟件編程的方法實(shí)現(xiàn)以24小時(shí)為一個(gè)周期,同時(shí)8位7段led數(shù)碼管(兩個(gè)四位一體數(shù)碼管)顯示小時(shí)、分鐘和秒的要求,并在計(jì)時(shí)過(guò)程中具有定時(shí)功能,當(dāng)時(shí)間到達(dá)提前定好的時(shí)間進(jìn)行蜂鳴報(bào)時(shí)。

2、該電子鐘設(shè)有四個(gè)按鍵key1、key2、key3、key4和keu5鍵,進(jìn)行相應(yīng)的操作就可實(shí)現(xiàn)校時(shí)、定時(shí)、復(fù)位功能。具有時(shí)間顯示、整點(diǎn)報(bào)時(shí)、校正等功能。走時(shí)準(zhǔn)確、顯示直觀、運(yùn)行穩(wěn)定等優(yōu)點(diǎn)。具有極高的推廣應(yīng)用價(jià)值。關(guān)鍵詞 電子鐘;at89s52;硬件設(shè)計(jì);軟件設(shè)計(jì)abstractclock is widely used in life, and a simple digital clock is more welcomed by people. so to design a simple digital electronic clock is necessary.the system use a

3、 single chip at89s52 of atmels as its core to control the crystal oscillator clock,using of e-12mhz is connected with the microcontroller at89s52, through the software programming method to achieve a 24-hour cycle, and eight 7-segment led digital tube (two four in one digital tube) displays hours, m

4、inutes and seconds requirements, and in the time course of a timing function, when the time arrived ahead of scheduled time to buzz a good timekeeping. the clock has four buttons key1, key2, key3,key4 and key5 key, and make the appropriate action can be achieved when the school, timing, reset. with

5、a time display, alarm clock settings, timer function, corrective action. accurate travel time, display and intuitive, precision, stability, and so on. with a high application value. key words electronic clock;;at89s52;hardware design;software design目 錄1 設(shè)計(jì)課題任務(wù)、功能要求說(shuō)明及方案介紹 11.1 設(shè)計(jì)課題任務(wù) 11.2 功能要求說(shuō)明 11.

6、3 設(shè)計(jì)總體方案介紹及原理說(shuō)明 12 設(shè)計(jì)課題硬件系統(tǒng)的設(shè)計(jì) 22.1 設(shè)計(jì)課題硬件系統(tǒng)各模塊功能簡(jiǎn)要介紹 22.2 設(shè)計(jì)課題電路原理圖、pcb圖、元器件布局圖 22.3 設(shè)計(jì)課題元器件清單 53 設(shè)計(jì)課題軟件系統(tǒng)的設(shè)計(jì) 63.1 設(shè)計(jì)課題使用單片機(jī)資源的情況 63.2 設(shè)計(jì)課題軟件系統(tǒng)各模塊功能簡(jiǎn)要介紹 63.3 設(shè)計(jì)課題軟件系統(tǒng)程序流程框圖 63.4 設(shè)計(jì)課題軟件系統(tǒng)程序清單104 設(shè)計(jì)結(jié)論、仿真結(jié)果、誤差分析、教學(xué)建議 214.1 設(shè)計(jì)課題的設(shè)計(jì)結(jié)論及使用說(shuō)明214.2 設(shè)計(jì)課題的仿真結(jié)果214.3 設(shè)計(jì)課題的誤差分析224.4 設(shè)計(jì)體會(huì)224.5 教學(xué)建議22結(jié) 束 語(yǔ) 23參考文獻(xiàn)

7、24致 謝 25附 錄 261 設(shè)計(jì)課題任務(wù)、功能要求說(shuō)明及方案介紹1.1 設(shè)計(jì)課題任務(wù)設(shè)計(jì)一個(gè)具有特定功能的電子鐘。具有時(shí)間顯示,并有時(shí)間設(shè)定,時(shí)間調(diào)整功能。1.2 功能要求說(shuō)明設(shè)計(jì)一個(gè)具有特定功能的電子鐘。該電子鐘上電或按鍵復(fù)位后能自動(dòng)顯示系統(tǒng)提示符“d.1004-22”, 進(jìn)入時(shí)鐘準(zhǔn)備狀態(tài);第一次按電子鐘啟動(dòng)/調(diào)整鍵,電子鐘從12時(shí)0分0秒開(kāi)始運(yùn)行,進(jìn)入時(shí)鐘運(yùn)行狀態(tài);按電子鐘key1鍵,則電子鐘進(jìn)入時(shí)鐘調(diào)整狀態(tài),此時(shí)可利用各調(diào)整鍵調(diào)整時(shí)間,調(diào)整結(jié)束后可按key1鍵再次進(jìn)入時(shí)鐘運(yùn)行狀態(tài)。1.3 設(shè)計(jì)課題總體方案介紹及工作原理說(shuō)明本電子鐘主要由單片機(jī)、鍵盤(pán)、顯示接口電路和復(fù)位電路構(gòu)成,設(shè)計(jì)課

8、題的總體方案如圖1所示: 圖1-1 總體設(shè)計(jì)方案圖本電子鐘的所有的軟件、參數(shù)均存放在at89s52的flash rom和內(nèi)部ram中,減少了芯片的使用數(shù)量簡(jiǎn)化了整體電路也降低了整機(jī)的工作電流。鍵盤(pán)采用動(dòng)態(tài)掃描方式。利用單片機(jī)定時(shí)器及計(jì)數(shù)器產(chǎn)生定時(shí)效果通過(guò)編程形成數(shù)字鐘效果,再利用數(shù)碼管動(dòng)態(tài)掃描顯示單片機(jī)內(nèi)部處理的數(shù)據(jù),同時(shí)通過(guò)端口讀入當(dāng)前外部控制狀態(tài)來(lái)改變程序的不同狀態(tài),實(shí)現(xiàn)不同功能。2 設(shè)計(jì)課題硬件系統(tǒng)的設(shè)計(jì)2.1 設(shè)計(jì)課題硬件系統(tǒng)各模塊功能簡(jiǎn)要介紹本設(shè)計(jì)的硬件系統(tǒng)主要采用以下基本模塊來(lái)實(shí)現(xiàn),單片機(jī)最小系統(tǒng)模塊,輸入模塊、輸出模塊、電源模塊。(1)單片機(jī)最小系統(tǒng)模塊:包括低功耗、高性能cmo

9、s8位微控制器at89s52;復(fù)位電路;晶振電路。本本模塊at89s52系統(tǒng)控制核心,單片機(jī)系統(tǒng)復(fù)位由復(fù)位電路完成,單片機(jī)內(nèi)部有一個(gè)高增益、反相放大器,其輸入端為芯片引腳xtal1,其輸出端位位引腳xtal2。通過(guò)這兩個(gè)引腳在芯片外并接石英晶體振蕩器和兩只電容。這樣就構(gòu)成一個(gè)穩(wěn)定的自激振蕩器。 (2)輸入模塊:本模塊共用到了4個(gè)按鍵,1個(gè)電源開(kāi)關(guān),一個(gè)復(fù)位鍵,單片機(jī)運(yùn)行期間,利用按鍵完成復(fù)位操作。3個(gè)按鍵獨(dú)立式鍵盤(pán),key1鍵控制電子鐘的啟動(dòng),key2鍵為加1鍵,key3鍵為減1鍵,key1鍵第三次控制電子鐘的調(diào)整狀態(tài)。且key1、key2、key3、任一鍵都獨(dú)自連一個(gè)i/o(p1.0、p1.

10、1、p1.2、p1.3)口線,說(shuō)明它們可以獨(dú)立實(shí)現(xiàn)相應(yīng)的電子鐘功能。(3)輸出模塊:本次設(shè)計(jì)顯示為8位,采用兩個(gè)四位一體數(shù)碼管(共陽(yáng)極)作為顯示窗口,既可以節(jié)約成本又能簡(jiǎn)化電路。數(shù)碼管用8個(gè)pnp三極管驅(qū)動(dòng)。(4)電源模塊:現(xiàn)在市面上銷(xiāo)售的編程器有很多都是由pc機(jī)的usb口直接供電為了降低本設(shè)計(jì)的成本及節(jié)省設(shè)計(jì)時(shí)間,沒(méi)有另外設(shè)計(jì)編程器,而直接購(gòu)買(mǎi)了市場(chǎng)上的usb供電及下載器。2.2 設(shè)計(jì)課題電路原理圖、pcb圖、元器件布局圖 圖2-1 電路原理圖 總設(shè)計(jì)原理圖見(jiàn)附錄a原理總設(shè)計(jì)圖見(jiàn)附錄附錄bpcb圖見(jiàn)附錄c2.3 設(shè)計(jì)課題元器件清單 表2-1 設(shè)計(jì)所用元器件清單名稱(chēng)數(shù)量參數(shù)電容2個(gè)33pf數(shù)碼

11、管2個(gè)4位一體電阻1個(gè)200下載口1個(gè)we普通插座1個(gè)40pin電阻16個(gè)470按鍵4個(gè)三極管9個(gè)pnpusb供電線1根晶振1個(gè)12mhz極性電容1個(gè)22f芯片1塊at89s52發(fā)光二極管9個(gè)led六角開(kāi)關(guān)1個(gè)sw-pb3 設(shè)計(jì)課題軟件系統(tǒng)的設(shè)計(jì)3.1 設(shè)計(jì)課題使用單片機(jī)資源的情況設(shè)計(jì)課題使用單片機(jī)資源的情況如下:p0口輸出數(shù)碼管段選信號(hào),p2口輸出數(shù)碼管位選信號(hào);晶振12mhz;調(diào)整選擇鍵key1:p1.0;通過(guò)選擇鍵選擇調(diào)整位,選中位閃爍;增加鍵key2:p1.1;按一次使選中位加1;減少鍵key3:p1.2;按一次使選中位減1;此數(shù)字鐘可實(shí)現(xiàn)基本的走時(shí)和顯示時(shí)間時(shí)、分、秒;時(shí)間的調(diào)整;鬧

12、鐘的設(shè)定和調(diào)整;鬧鐘的開(kāi)啟和關(guān)閉功能,具體如下:(1)實(shí)現(xiàn)基本的走時(shí)和顯示時(shí)間的時(shí)、分、秒,上電自動(dòng)顯示初始時(shí)間12-00-00,且控制鬧鐘狀態(tài)的的藍(lán)色led燈為亮的狀態(tài)。(2)當(dāng)?shù)谝淮伟聪碌谝粋€(gè)彈性按鍵時(shí)進(jìn)入時(shí)間的調(diào)節(jié)狀態(tài),此時(shí)實(shí)現(xiàn)對(duì)顯示時(shí)間的小時(shí)調(diào)節(jié),按下第二個(gè)按鍵時(shí)實(shí)現(xiàn)小時(shí)的加一調(diào)節(jié),按下第三個(gè)按鍵時(shí)實(shí)現(xiàn)小時(shí)的減一調(diào)節(jié)。(3)當(dāng)?shù)诙伟聪碌谝粋€(gè)彈性按鍵時(shí)進(jìn)入顯示時(shí)間的分鐘調(diào)節(jié)狀態(tài),按下第二個(gè)按鍵時(shí)實(shí)現(xiàn)分鐘的加一調(diào)節(jié),按下第三個(gè)按鍵時(shí)實(shí)現(xiàn)分鐘的減一調(diào)節(jié)。(4)當(dāng)?shù)谌伟聪碌谝粋€(gè)彈性按鍵時(shí)進(jìn)入鬧鐘的小時(shí)調(diào)節(jié)狀態(tài),按下第二個(gè)按鍵時(shí)實(shí)現(xiàn)鬧鐘小時(shí)的加一調(diào)節(jié),按下第三個(gè)按鍵時(shí)實(shí)現(xiàn)鬧鐘小時(shí)的減一調(diào)節(jié)。

13、(5)當(dāng)?shù)谒拇伟聪碌谝粋€(gè)彈性按鍵時(shí)進(jìn)入鬧鐘的分鐘調(diào)節(jié)狀態(tài),按下第二個(gè)按鍵時(shí)實(shí)現(xiàn)鬧鐘分鐘的加一調(diào)節(jié),按下第三個(gè)按鍵時(shí)實(shí)現(xiàn)鬧鐘分鐘的減一調(diào)節(jié)。(6)當(dāng)?shù)谖宕伟聪碌谝粋€(gè)彈性按鍵時(shí)返回正常的顯示時(shí)間走時(shí)狀態(tài)。(7)當(dāng)同時(shí)按下第二和第三個(gè)彈性按鍵時(shí),關(guān)閉鬧鐘,且此時(shí)藍(lán)色led燈為滅,及定時(shí)時(shí)間到蜂鳴器并不響,若再次同時(shí)按下第二和第三個(gè)彈性按鍵,則開(kāi)啟鬧鐘,且此時(shí)藍(lán)色led燈為亮,定時(shí)時(shí)間到蜂鳴器發(fā)出滴滴的鬧鈴聲,同時(shí)按下第二和第三個(gè)彈性按鍵即可關(guān)閉鬧鈴。鬧鈴狀態(tài)默認(rèn)為開(kāi)啟。 3.2 設(shè)計(jì)課題軟件系統(tǒng)個(gè)模塊功能簡(jiǎn)要介紹 本設(shè)計(jì)的軟件系統(tǒng)主要采用以下基本模塊來(lái)實(shí)現(xiàn),主程序、中斷服務(wù)程序、鍵盤(pán)輸入程序模塊、數(shù)

14、碼管及其驅(qū)動(dòng)模塊和延時(shí)模塊。主程序:主要是用于對(duì)輸入信號(hào)的處理、輸出信號(hào)的控制和對(duì)各個(gè)功能程序模塊的運(yùn)用及其控制。中斷服務(wù)程序:主要是用于電子鐘的準(zhǔn)確運(yùn)行、數(shù)據(jù)輸入過(guò)程中的閃爍。鍵盤(pán)輸入程序模塊:主要是用于確定按鍵并得到特定的鍵碼值。數(shù)碼管及其驅(qū)動(dòng)模塊:主要是用于驅(qū)動(dòng)數(shù)碼管及利用數(shù)碼管顯示時(shí)間。延時(shí)模塊:程序中有兩種延時(shí)子程序,一種是短延時(shí)用于判鍵按下等,一種是 長(zhǎng)延時(shí)。3.3 設(shè)計(jì)課題軟件系統(tǒng)程序流程框圖系統(tǒng)軟件采用匯編語(yǔ)言按模塊化方式進(jìn)行設(shè)計(jì),然后通過(guò)keil軟件開(kāi)發(fā)平臺(tái)將程序轉(zhuǎn)變成十六進(jìn)制程序語(yǔ)言,接著使用proteous 進(jìn)行仿真,讀出顯示數(shù)據(jù)。主程序流程框圖如3-1所示;時(shí)間處理子程

15、序流程框圖3-2所示;中斷服務(wù)程序程序如3=3所示; 圖3-1 主程序流程框圖 圖3-2 時(shí)間處理子程序流程框圖 圖3-3 中斷子程序3.4 設(shè)計(jì)課題軟件系統(tǒng)程序清單;-;項(xiàng)目名稱(chēng):數(shù)字電子鐘;項(xiàng)目功能:本電子鐘實(shí)現(xiàn)24小時(shí)制,8位數(shù)碼管顯示時(shí)分秒,顯示格式:12-59-00 通過(guò)4只按鍵來(lái)調(diào)整時(shí)間,調(diào)整選擇鍵key1:p1.0;通過(guò)選擇鍵選 擇調(diào)整位,選中位閃爍,增加鍵key2:p1.1;按一次使選中位加1。 減少鍵key3:p1.2;按一次使選中位減1,bear:p3.1;到了整點(diǎn)和鬧 鐘就會(huì)響, 如果長(zhǎng)按key1第一次切換到正常時(shí)鐘顯示,按第二次切換到時(shí)的調(diào)整, 按第三次切換到分的調(diào)整,

16、同時(shí)led:p1.2就會(huì)閃爍, 按第四次和第五次分別切換到鬧鐘的時(shí)分的調(diào)整 ,可進(jìn)行調(diào) 時(shí)、調(diào)分快進(jìn)快減,并停止閃爍。如果選中位是秒, 則按增加鍵或減少鍵可實(shí)現(xiàn),但無(wú)調(diào)時(shí)快進(jìn)和快減功能。p0口輸出數(shù) 碼管段選信號(hào),p2口輸出數(shù)碼管位選信號(hào);晶振12mhz。編程作者: 林熾逸完成時(shí)間: 2013年06月8日程序請(qǐng)清單如下:#include #include#include #define uint unsigned int#define uchar unsigned charsbit key1=p11; /切換鍵sbit key2=p12; /minute ,hour調(diào)整加1定義sbit key

17、3=p17; /minute ,hour調(diào)整減1定義sbit bear=p31; /鬧鈴sbit led=p12; /鬧鐘,整時(shí)燈閃爍code unsigned char tab=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xbf,0xc8,0x8e,0xff,0x21; /段碼控制char code weikong_code=0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f;uchar ms8=2,2,10,4,0,0,1,14;uchar strtab8;uchar minute=59,hour=12,

18、second=0; / 正常 時(shí)鐘秒,分,時(shí) 定義uchar minute1=00,hour1=00; second1=00;/ 鬧鐘 時(shí)鐘秒,分,時(shí) 定義uchar flag=0, flag1=0; /切換標(biāo)志 uchar num=0;uint count=0; /定時(shí)器計(jì)數(shù),定時(shí)50ms,count滿20,秒加1/*子函數(shù)聲明*/void xianshishuzu(); /顯示數(shù)組子程序void alarm(); /鬧鐘子程序/* 延時(shí)子程序*/ void delay(uint z) uint x,y;for(x=0;xz;x+)for(y=0;y110;y+);/*時(shí)間處理子程序*/vo

19、id time_pro(void) if(second=60)second=0;minute+;if(minute=60)minute=0;hour+;if(hour=24)hour=0;/*顯示時(shí)鐘子函數(shù)*/ void dispaly(uchar w8) unsigned int i,j,aa; aa=0xfe; /位選初值1111 1110for(i=0;i8;i+) /依次將數(shù)組w中八個(gè)數(shù)取出,并顯示 p2=aa; /位選j=wi; /取出要顯示的數(shù)碼p0=tabj; /取出段選編碼aa=_crol_(aa,1); /位選信號(hào)循環(huán)右移?delay(1); /顯示延時(shí)p0=0xff; /消

20、影 /*顯示時(shí)鐘數(shù)組子程序*/void xianshishuzu() strtab1=second/10; /秒個(gè)位 strtab0=second%10; /秒十位 strtab2=10; /間隔符 - strtab4=minute/10; /分個(gè)位 strtab3=minute%10; /分十位 strtab5=10; /間隔符 - strtab7=hour/10; /時(shí)個(gè)位 strtab6=hour%10; /時(shí)十位 /*鍵盤(pán)掃描子程序*/ void keycan() if(key1=0) /按一次,正常顯示,按第二次,時(shí)調(diào)整,按第三次,分調(diào)這整, delay(10); /按鍵1去抖以及動(dòng)

21、作 if(key1=0) /確認(rèn)按鍵是否按下 flag+; /切換標(biāo)志 while(!key1); /釋放按鍵 if(flag=1) if(key2=0) delay(10);if(key2=0) hour+;if(hour=24)hour=0; /正常時(shí)間 小時(shí) 加1 while(!key2) /釋放按鍵 dispaly(strtab); if(key3=0) delay(10); if(key3=0) hour-;if(hour=0)hour=23; dispaly(strtab); /正常時(shí)間小時(shí) 減1 while(!key3) dispaly(strtab); if(flag=2) i

22、f(key2=0) /按鍵去抖以及動(dòng)作 delay(10); if(key2=0) minute+;if(minute=60)minute=0; /分加1 while(!key2) dispaly(strtab); if(flag=3) / 秒表的加1 if(key3=0) delay(10); if(key3=0) second+;if(second=0)second=59; /秒加1 while(!key3)dispaly(strtab); if(flag=3) /鬧鐘對(duì)時(shí) if(key2=0) delay(10); if(key2=0) hour1+;if(hour1=24)hour1=

23、0; /鬧鐘時(shí)間 小時(shí) 加1 while(!key2) alarm(); if(key3=0) delay(10); if(key3=0) hour1-;if(hour1=0)hour1=23; /鬧鐘時(shí)間 小時(shí) 減 while(!key3) alarm(); if(flag=4) if(key2=0) /按鍵去抖以及動(dòng)作 delay(10); if(key2=0) minute1+;if(minute1=60)minute1=0; /鬧鐘分加1 while(!key2)alarm(); if(key3=0) /按鍵去抖以及動(dòng)作 delay(10); if(key3=0) minute1-;i

24、f(minute1=0)minute1=59; /鬧鐘分減1 while(!key3) alarm(); /*蜂鳴器子程序*/void beng() bear=1;p3=0xfd;delay(100);bear=0;p3=0xff;delay(100);/*整點(diǎn)報(bào)警子程序*/void zhengdian (void)uchar i=0;if(second=0)&(minute=0)/整點(diǎn)報(bào)時(shí) for(i=0;i=second1&secondsecond1+5)|(minute=0&second1) for(i=0;i0) if(flag=1|flag=2) tr0=1; dispaly(str

25、tab); zhengdian (); if(flag=3|flag=4) tr0=0;alarm(); if(flag=5) dispaly(strtab); if(flag=6) tr0=0; flag=0; dispaly(ms); 4 設(shè)計(jì)結(jié)論、仿真結(jié)果、誤差分析、教學(xué)建議4.1 設(shè)計(jì)課題的設(shè)計(jì)結(jié)論及使用說(shuō)明本設(shè)計(jì)為基于單片機(jī)的電子鐘的設(shè)計(jì)。剛開(kāi)始,我們很多地方理不清頭緒,無(wú)從下手,但通過(guò)認(rèn)真研究設(shè)計(jì)課題,找書(shū)上網(wǎng)查資料買(mǎi)元件,確定基本設(shè)計(jì)方案,對(duì)所用芯片功能進(jìn)行查找、調(diào)試,然后畫(huà)電路圖制pcb板、打孔、溶銅、焊接等,真的經(jīng)歷了許多困難,卻積累了很多寶貴的經(jīng)驗(yàn),本設(shè)計(jì)用2個(gè)四位一體的共陽(yáng)數(shù)碼管做為顯示器,它顯示時(shí)間值;設(shè)計(jì)中有三個(gè)按鍵,其中key1為啟動(dòng)鍵,key2為加控制鍵 key3為減控制鍵 。4.2 設(shè)計(jì)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論