計算機組成原理第二章運算方法和運算器[一]_第1頁
計算機組成原理第二章運算方法和運算器[一]_第2頁
計算機組成原理第二章運算方法和運算器[一]_第3頁
計算機組成原理第二章運算方法和運算器[一]_第4頁
計算機組成原理第二章運算方法和運算器[一]_第5頁
已閱讀5頁,還剩82頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、第二章第二章 運算方法和運算器運算方法和運算器 數(shù)據(jù)表示數(shù)據(jù)表示定點運算定點運算定點運算器的構(gòu)成與實例定點運算器的構(gòu)成與實例浮點數(shù)的加減運算浮點數(shù)的加減運算2.1 2.1 數(shù)據(jù)表示數(shù)據(jù)表示2.1.1 2.1.1 概述概述一、什么叫數(shù)據(jù)表示一、什么叫數(shù)據(jù)表示 是指能由計算機硬件直接識別的數(shù)據(jù)類型,是指能由計算機硬件直接識別的數(shù)據(jù)類型,如定點數(shù)、浮點數(shù)等。而所謂如定點數(shù)、浮點數(shù)等。而所謂“由硬件直接識別由硬件直接識別”意味著某種數(shù)據(jù)類型可以用計算機硬件直接表示意味著某種數(shù)據(jù)類型可以用計算機硬件直接表示出來,并能由計算機指令直接調(diào)用該數(shù)據(jù)類型。出來,并能由計算機指令直接調(diào)用該數(shù)據(jù)類型。二、為什么要研

2、究數(shù)據(jù)表示問題二、為什么要研究數(shù)據(jù)表示問題( (重要性重要性) ) 數(shù)據(jù)是計算機處理加工的對象,數(shù)據(jù)的表示數(shù)據(jù)是計算機處理加工的對象,數(shù)據(jù)的表示方法直接影響計算機的結(jié)構(gòu)和性能。了解計算機方法直接影響計算機的結(jié)構(gòu)和性能。了解計算機中數(shù)據(jù)表示是了解計算機各主要部件工作原理的中數(shù)據(jù)表示是了解計算機各主要部件工作原理的必要基礎(chǔ)。必要基礎(chǔ)。三、數(shù)據(jù)表示的發(fā)展:數(shù)據(jù)表示即數(shù)據(jù)類型不是一開三、數(shù)據(jù)表示的發(fā)展:數(shù)據(jù)表示即數(shù)據(jù)類型不是一開始就有多種多樣,有一個隨應(yīng)用需要而發(fā)展的過程。始就有多種多樣,有一個隨應(yīng)用需要而發(fā)展的過程。早期只有定點表示,表示數(shù)的范圍很有限;早期只有定點表示,表示數(shù)的范圍很有限;為擴大數(shù)

3、的表示范圍而出現(xiàn)了浮點數(shù)表示;為擴大數(shù)的表示范圍而出現(xiàn)了浮點數(shù)表示;計算機應(yīng)用擴大到商業(yè)和事務(wù)處理,出現(xiàn)了字符計算機應(yīng)用擴大到商業(yè)和事務(wù)處理,出現(xiàn)了字符串數(shù)據(jù);串數(shù)據(jù);堆棧數(shù)據(jù)表示;堆棧數(shù)據(jù)表示;向量數(shù)據(jù)表示。向量數(shù)據(jù)表示。 一般介紹一般介紹數(shù)值型數(shù)據(jù)數(shù)值型數(shù)據(jù)( (能能進行算術(shù)運算進行算術(shù)運算能得到明確數(shù)值概能得到明確數(shù)值概念的數(shù)字數(shù)據(jù)念的數(shù)字數(shù)據(jù)) )非數(shù)值型數(shù)據(jù)非數(shù)值型數(shù)據(jù)( (以以數(shù)字數(shù)據(jù)形式進入計數(shù)字數(shù)據(jù)形式進入計算機的聲音、圖像、算機的聲音、圖像、文字等信息文字等信息) )二進制二進制( (定點、浮點定點、浮點) )十進制十進制( (二二- -十進制十進制BCDBCD碼碼) )字符

4、型字符型邏輯型邏輯型2.1.2 2.1.2 數(shù)值型數(shù)據(jù)的表示方法數(shù)值型數(shù)據(jù)的表示方法 數(shù)值型數(shù)據(jù)包括無符號數(shù)和有符號數(shù)兩類數(shù)值型數(shù)據(jù)包括無符號數(shù)和有符號數(shù)兩類. . 無符號數(shù)無符號數(shù): :沒有符號的數(shù);沒有符號的數(shù); 有符號數(shù)有符號數(shù): :符號符號“+ +”或或“- -”在有效數(shù)字的前面在有效數(shù)字的前面. . 注意注意: :在相同機器字長的情況下在相同機器字長的情況下, ,無符號數(shù)與有符無符號數(shù)與有符號數(shù)所對應(yīng)的數(shù)值范圍不同號數(shù)所對應(yīng)的數(shù)值范圍不同. . 一、數(shù)的機器碼表示一、數(shù)的機器碼表示 真值真值: :正負號加絕對值表示的數(shù)值正負號加絕對值表示的數(shù)值; ; 機器數(shù)機器數(shù): :因為因為“+

5、+”與與“- -”號在計算機中無法識別號在計算機中無法識別, ,故需要把數(shù)的符號數(shù)碼化故需要把數(shù)的符號數(shù)碼化. .在計算機中使用的連同在計算機中使用的連同數(shù)符一起數(shù)碼化的數(shù)稱為機器數(shù)數(shù)符一起數(shù)碼化的數(shù)稱為機器數(shù). .通常約定二進制通常約定二進制數(shù)的最高位為符號位數(shù)的最高位為符號位, ,“0 0”代表正號代表正號, ,“1 1”代表負號代表負號. .常見的機器數(shù)有原碼、反碼、補碼等常見的機器數(shù)有原碼、反碼、補碼等. . 例如例如: :11011101若為原碼機器數(shù)若為原碼機器數(shù), ,則其真值為則其真值為 5 5 二、數(shù)據(jù)格式二、數(shù)據(jù)格式1 1、定點數(shù)、定點數(shù)( ( Fix-Point Numbe

6、rFix-Point Number ) )含義:定點、浮點的含義:定點、浮點的“點點”都指二進制數(shù)中的小數(shù)都指二進制數(shù)中的小數(shù)點點. .定點指小數(shù)點位置固定定點指小數(shù)點位置固定. .小數(shù)點不占位小數(shù)點不占位, ,隱含隱含. . 定點數(shù)分為定點小數(shù)定點數(shù)分為定點小數(shù)( (純小數(shù)純小數(shù)) )和定點整數(shù)和定點整數(shù)( (純整數(shù)純整數(shù)) . ) . 符號位符號位數(shù)值部分數(shù)值部分符號位符號位數(shù)值部分數(shù)值部分定點整數(shù)定點整數(shù)定點小數(shù)定點小數(shù)如+0.1011,在機器中表示為:01011如+1101,在機器中表示為:01101(1)(1)定點數(shù)常見的三種機器碼編碼形式定點數(shù)常見的三種機器碼編碼形式例:例:X =

7、 +5=+0000101X = +5=+0000101, Y=-2=-0000010Y=-2=-0000010 X X原原=0, 0000101 Y=0, 0000101 Y原原=1,0000010=1,0000010 X X反反= 0, 0000101 Y= 0, 0000101 Y反反=1,1111101=1,1111101 X X補補= 0, 0000101 Y= 0, 0000101 Y補補=1,1111110=1,1111110(2)(2)三種編碼的比較三種編碼的比較 a)a)最高位都表示符號位最高位都表示符號位, ,對于正數(shù)對于正數(shù), ,它們的表示形式它們的表示形式相同相同, ,即

8、符號位為即符號位為“0 0”, ,數(shù)值部分和真值相同數(shù)值部分和真值相同. . 而對而對于負數(shù)各有不同的表示于負數(shù)各有不同的表示. . (2)(2)三種編碼的比較三種編碼的比較例:例:X = +5=+0000101X = +5=+0000101, Y=-2=-0000010Y=-2=-0000010 X X原原=0, 0000101 Y=0, 0000101 Y原原=1,0000010=1,0000010 X X反反= 0, 0000101 Y= 0, 0000101 Y反反=1,1111101=1,1111101 X X補補= 0, 0000101 Y= 0, 0000101 Y補補=1,11

9、11110=1,1111110 b)b)最高位都表示符號位最高位都表示符號位, ,補碼和反碼的符號位可作補碼和反碼的符號位可作為數(shù)值的一部分看待為數(shù)值的一部分看待, ,和數(shù)值位一起參加運算和數(shù)值位一起參加運算; ;但但原碼的符號位不允許和數(shù)值位同等看待原碼的符號位不允許和數(shù)值位同等看待, ,必須分必須分開進行處理開進行處理. . 反碼運算規(guī)則反碼運算規(guī)則:(1) :(1) 符號位一起參加運算符號位一起參加運算;(2) ;(2) 運算運算結(jié)果若有進位出現(xiàn)結(jié)果若有進位出現(xiàn), , 則最后結(jié)果則最后結(jié)果+1(+1(循環(huán)進位循環(huán)進位); ); (3)(3)注意最后正負結(jié)果轉(zhuǎn)換為真值的不同注意最后正負結(jié)果

10、轉(zhuǎn)換為真值的不同. . X X反反+Y+Y反反= 0, 0000101 +1,1111101 0, 0000101 +1,1111101 =0,0000010+1( =0,0000010+1(末位末位)=0,0000011)=0,0000011 c) c)不同碼制中真值不同碼制中真值0 0的表示方法不同的表示方法不同 對于真值對于真值0, 0, 原碼和反碼各有兩種原碼和反碼各有兩種不同的表示形式不同的表示形式, , 而補碼只有唯一的一而補碼只有唯一的一種表示形式。假設(shè)字長為種表示形式。假設(shè)字長為8 8位位( (包括一位包括一位符號位符號位), ), 則:則: +0+0原原=0,0000000=

11、0,0000000 -0 -0原原= 1,0000000= 1,0000000 +0 +0補補=-0=-0補補=0,0000000=0,0000000 +0 +0反反=0,0000000=0,0000000 -0 -0反反= 1,1111111= 1,1111111 d) d)定點數(shù)的表示范圍定點數(shù)的表示范圍 原碼、反碼表示的正、負數(shù)范圍相原碼、反碼表示的正、負數(shù)范圍相對零來說是對稱的對零來說是對稱的, , 但補碼負數(shù)表示范圍但補碼負數(shù)表示范圍較正數(shù)表示范圍寬較正數(shù)表示范圍寬, , 能多表示一個最負的能多表示一個最負的數(shù)數(shù)( (絕對值最大的負數(shù)絕對值最大的負數(shù)), ),其值等于其值等于-2-2

12、n n( (純純整數(shù)整數(shù)) )或或-1(-1(純小數(shù)純小數(shù)) )。原因是原碼和反碼。原因是原碼和反碼的真值的真值0 0各有兩種不同的表示形式各有兩種不同的表示形式, , 而補而補碼只有唯一的一種表示形式。碼只有唯一的一種表示形式。 定點數(shù)的表示數(shù)的范圍定點數(shù)的表示數(shù)的范圍( (字長為字長為n+1n+1位位) ) 小數(shù)表數(shù)范圍:小數(shù)表數(shù)范圍: 原碼原碼 (1 (12 2-n -n ) N 1) N 12 2 -n-n補碼補碼 1 1 N 1 N 12 2-n-n 反碼反碼 (1 (12 2-n-n) N 1) N 12 2-n-n 整數(shù)表數(shù)范圍:整數(shù)表數(shù)范圍:原碼原碼 (2(2n n 1) 1)

13、 N N 2 2 n n 1 1 補碼補碼 2 2 n n N 2N 2 n n 1 1反碼反碼 (2(2n n1) N 21) N 2n n1 1特別注意補碼的最小負數(shù)表示特別注意補碼的最小負數(shù)表示習(xí)題:習(xí)題:P.69 P.69 第第1 1、2 2題題1 1、寫出下列各數(shù)的原碼、反碼、補碼表示、寫出下列各數(shù)的原碼、反碼、補碼表示( (用用8 8位二進制數(shù)位二進制數(shù)) )。其中。其中MSBMSB是最高位是最高位( (又是符又是符號位號位) ),LSBLSB是最低位。如果是小數(shù),小數(shù)點是最低位。如果是小數(shù),小數(shù)點在在MSBMSB之后;如果是整數(shù),小數(shù)點在之后;如果是整數(shù),小數(shù)點在LSBLSB之后

14、。之后。(1)(1)35/64 (2)23/128 (3) 35/64 (2)23/128 (3) 127127(4)(4)用小數(shù)表示用小數(shù)表示 1 (5) 1 (5)用整數(shù)表示用整數(shù)表示 1 1解答解答: (1)(1)現(xiàn)將現(xiàn)將-35/64-35/64寫成二進制真值寫成二進制真值-100011-1000112 2-6-6=-0.100011=-0.100011原碼:原碼:1.1000110 1.1000110 反碼:反碼:1. 01110011. 0111001補碼:補碼:1.01110101.0111010(2)(2)先將先將23/12823/128寫成二進制真值為寫成二進制真值為10111

15、101112 2-7-7=0.0010111=0.0010111原碼:原碼:0.00101110.0010111反碼:反碼:0.00101110.0010111補碼:補碼:0.00101110.0010111(3)(3)先將先將-127-127寫成二進制真值為寫成二進制真值為-1111111-1111111原碼:原碼:11111111 (1,1111111)11111111 (1,1111111)反碼:反碼:10000000 (1,0000000)10000000 (1,0000000)補碼:補碼:10000001 (1,0000001)10000001 (1,0000001)(4)(4)用小

16、數(shù)表示用小數(shù)表示-1 -1:原碼與反碼的小數(shù)表示中沒有:原碼與反碼的小數(shù)表示中沒有- -1 1;補碼表示的小數(shù);補碼表示的小數(shù)-1 -1為為1.00000001.0000000(5)(5)用整數(shù)表示用整數(shù)表示-1 -1:原碼為:原碼為1,00000011,0000001;反碼為;反碼為1,11111101,1111110;補碼為;補碼為1,11111111,1111111。2 2、設(shè)、設(shè)XX補補=a=a0 0.a .a1 1a a2 2a a6 6,其中,其中a ai i取取0 0或或1 1,若要,若要x x0.50.5,求,求a a0 0,a a1 1,a a2 2,a a6 6的取值。的取

17、值。補充題:將下列數(shù)由小到大排序:補充題:將下列數(shù)由小到大排序:1616,1010.11B1010.11B,25.3Q25.3Q,X1X1補補=10001101=10001101,1CH1CH,X2X2反反=01001101=01001101,0110.1001BCD0110.1001BCD,X3X3原原=10101011=10101011,X4X4補補=10111111=10111111,-X5-X5補補=10100101 =10100101 解題要點:解題要點:(1)(1)統(tǒng)一各個數(shù)的表示形式,一般均表示為十進制統(tǒng)一各個數(shù)的表示形式,一般均表示為十進制 (2)(2)所需知識:了解所需知識:

18、了解B(binary)B(binary)、Q(octal)Q(octal)、 D(Decimal) D(Decimal) 、 H(Hexadecimal)H(Hexadecimal)、BCD(Binary-Coded Decimal ,BCD(Binary-Coded Decimal ,簡稱簡稱BCD,BCD,即即二二- -十進制編碼十進制編碼) ) 含義;各種進制數(shù)向十進制的轉(zhuǎn)換;機器碼含義;各種進制數(shù)向十進制的轉(zhuǎn)換;機器碼求真值。求真值。以上十個數(shù)由小到大排序結(jié)果:以上十個數(shù)由小到大排序結(jié)果:X1X1, X4X4, X3X3, 0110.1001BCD, 1010.11B0110.1001

19、BCD, 1010.11B,1616,25.3Q25.3Q,1CH1CH, X2X2, X5X5 例例. .已知已知: :機器字長機器字長1616位位, ,定點表示定點表示, ,尾數(shù)尾數(shù)1515位位, ,數(shù)符數(shù)符1 1位位, ,問問: : (1) (1)定點原碼整數(shù)表示時定點原碼整數(shù)表示時, ,最大正數(shù)是多少最大正數(shù)是多少? ?最小負數(shù)是最小負數(shù)是多少多少? ? (2) (2)定點原碼小數(shù)表示時定點原碼小數(shù)表示時, ,最大正數(shù)是多少最大正數(shù)是多少? ?最小負數(shù)是最小負數(shù)是多少多少? ? 解解:(1):(1)定點原碼整數(shù)表示定點原碼整數(shù)表示: : 最小負整數(shù)表示最小負整數(shù)表示: 1,111 11

20、1 111 111 111: 1,111 111 111 111 111 最小負整數(shù)最小負整數(shù)=-(2=-(21515-1)-1)1010=(-32767)=(-32767)1010 最大正整數(shù)表示最大正整數(shù)表示:0,111 111 111 111 111:0,111 111 111 111 111 最大正整數(shù)最大正整數(shù)=(2=(21515-1)-1)1010=(+32767)=(+32767)1010 (2) (2)定點原碼小數(shù)表示定點原碼小數(shù)表示: : 最大正數(shù)值最大正數(shù)值=(1-2=(1-2-15-15) )1010=(+0.111=(+0.11111)11)2 2 1515個個1 1

21、最小負數(shù)值最小負數(shù)值=-(1-2=-(1-2-15-15) )1010=(-0.111=(-0.11111)11)2 22.1.2 2.1.2 數(shù)值型數(shù)據(jù)的表示方法數(shù)值型數(shù)據(jù)的表示方法二、數(shù)據(jù)格式二、數(shù)據(jù)格式1 1、定點數(shù)、定點數(shù)( Fix-Point Number )( Fix-Point Number )2 2、浮點數(shù)、浮點數(shù)(Float-Point Number):(Float-Point Number):小數(shù)點位置可以自由浮小數(shù)點位置可以自由浮動動, ,數(shù)的范圍和精度分別表示數(shù)的范圍和精度分別表示. .浮點數(shù)的一般表示格式為:浮點數(shù)的一般表示格式為: N=rN=rE EMM 其中其中:

22、r :r稱為浮點數(shù)階碼的底,或基數(shù),一般稱為浮點數(shù)階碼的底,或基數(shù),一般r=2r=2; E-E-階碼階碼(Exponent),(Exponent),一般為定點整數(shù)一般為定點整數(shù), ,決定浮點數(shù)的表示決定浮點數(shù)的表示范圍范圍, ,常用移碼或補碼表示常用移碼或補碼表示; ; M- M-尾數(shù)尾數(shù)(Mantissa),(Mantissa),一般為定點小數(shù)一般為定點小數(shù), ,決定浮點數(shù)的精度決定浮點數(shù)的精度, ,常用原碼或補碼表示常用原碼或補碼表示. .例例: N=-0.000001011,: N=-0.000001011,可將其表示為以下的浮可將其表示為以下的浮點數(shù)形式點數(shù)形式: :N=2N=2-3-

23、3x(-0.001011)=2x(-0.001011)=2-4-4(-0.01011)(-0.01011)=2=2-5-5 (-0.1011)=(-0.1011)= (1)(1)浮點數(shù)的規(guī)格化浮點數(shù)的規(guī)格化 a)a)目的目的: :為了提高運算精度為了提高運算精度, ,充分利用尾數(shù)的有充分利用尾數(shù)的有效位數(shù)效位數(shù), ,同時保證浮點數(shù)表示形式唯一同時保證浮點數(shù)表示形式唯一, ,通常采通常采取浮點規(guī)格化形式取浮點規(guī)格化形式. . b)b)定義定義: :規(guī)定尾數(shù)小數(shù)點后第一位規(guī)定尾數(shù)小數(shù)點后第一位, ,即尾數(shù)數(shù)值位的最即尾數(shù)數(shù)值位的最高有效位高有效位(MSB)(MSB)必須為必須為1, 1,即尾數(shù)滿足

24、即尾數(shù)滿足:1/2=|M|=1:1/2=|M|0 X0 時:時: 2 2-1 -1 S 1-2 S 1-2-21-21當當X0X0時:時: -1 S-2-1 S0X0時:時:2 2-513-513 X 2 X 2511511(1-2-(1-2-2121) )當當X0X0時:時:-2-2511511 X-2 X-2-512-5122 2-1 -1或或 -2-2511511 X -2 X -2-512-512 (2 (2-1 -1+2+2-21-21) )4)4)最接近于零的正規(guī)格化數(shù)與負規(guī)格化數(shù)。最接近于零的正規(guī)格化數(shù)與負規(guī)格化數(shù)?!纠浚簩⑾铝惺M制數(shù)表示成浮點規(guī):將下列十進制數(shù)表示成浮點規(guī)

25、格化數(shù),階碼格化數(shù),階碼3 3位,用補碼表示;尾數(shù)位,用補碼表示;尾數(shù)9 9位,位,用補碼表示。用補碼表示。各包含各包含1 1位符號位在位符號位在內(nèi)。內(nèi)。(1 (1)27/64 (2)-27/64)27/64 (2)-27/64解答解答(1)27/64=11011X2(1)27/64=11011X2-6-6=0.11011X2=0.11011X2-1 -1表示成階碼表示成階碼( (補碼補碼) )、尾碼、尾碼( (補碼補碼) )為:為:1 1,11 11;0.110110000.11011000(2)-27/64=-11011X2(2)-27/64=-11011X2-6-6=-0.1101100

26、0X2=-0.11011000X2-1 -1表示成如下形式為:表示成如下形式為:1 1,11 11;1.001010001.001010002.1.2 2.1.2 數(shù)值數(shù)據(jù)的表示法數(shù)值數(shù)據(jù)的表示法三、十進制數(shù)的編碼三、十進制數(shù)的編碼1 1、編碼目的、編碼目的: :以機器能表示與識別的二進制形式存在以機器能表示與識別的二進制形式存在, ,又比又比純二進制數(shù)純二進制數(shù)(pure binary)(pure binary)更接近日常所習(xí)慣的十進制數(shù)更接近日常所習(xí)慣的十進制數(shù). .2 2、BCDBCD編碼:編碼:Binary Coded Decimal,Binary Coded Decimal,即將十進

27、制數(shù)的各即將十進制數(shù)的各位數(shù)字變成一組對應(yīng)的二進制代碼位數(shù)字變成一組對應(yīng)的二進制代碼, ,用用4 4位二進制數(shù)來表位二進制數(shù)來表示一位十進制數(shù)示一位十進制數(shù). .常見種類很多常見種類很多, ,如如 GrayGray碼、碼、 余余3 3碼、碼、84218421碼、碼、 24212421碼等碼等. .3 3、8421BCD8421BCD碼:碼: 有權(quán)碼有權(quán)碼, ,用四位二進制數(shù)表示一位十進用四位二進制數(shù)表示一位十進制數(shù)制數(shù)0909。000000000 0,000100011, 1,,100110019 9, 00010000000100001010,000100010001000111 11,00

28、010101000101011515,00010110000101101616。注意。注意1010以后的十進制數(shù)的表以后的十進制數(shù)的表示。示。2.1.3 2.1.3 非數(shù)值數(shù)據(jù)的表示非數(shù)值數(shù)據(jù)的表示 非數(shù)值型數(shù)據(jù)非數(shù)值型數(shù)據(jù),又稱為字符數(shù)據(jù)又稱為字符數(shù)據(jù),通常指字符、字通常指字符、字符串、圖形符號及漢字等各種數(shù)據(jù)符串、圖形符號及漢字等各種數(shù)據(jù). 1 1、字符和字符串的表示、字符和字符串的表示 (1) (1) ASCIIASCII字符編碼字符編碼 字符指字母、符號、控制字符等字符指字母、符號、控制字符等. .按什么樣的規(guī)按什么樣的規(guī)則把字符表示成則把字符表示成0 0、1 1形式是人為確定的形式是

29、人為確定的. .具體方案有具體方案有多種多種, ,其中流行的是其中流行的是ASCIIASCII碼碼, ,即美國國家信息交換標即美國國家信息交換標準碼準碼(American Standard Code for Information (American Standard Code for Information Interchange ),Interchange ),含四類含四類128128種字符種字符. . 在計算機中在計算機中, ,通常用一個字節(jié)來存放一個字符通常用一個字節(jié)來存放一個字符, ,一個字節(jié)的右邊一個字節(jié)的右邊7 7位表示不同的字符代碼位表示不同的字符代碼, ,最左一位最左一位可作

30、為奇偶校驗位可作為奇偶校驗位. . 1 1、字符和字符串的表示、字符和字符串的表示 (2) (2) 字符串的存放字符串的存放 字符串指一串連續(xù)的字符字符串指一串連續(xù)的字符. .通常它們在存儲器中存通常它們在存儲器中存放的方式有以下兩種放的方式有以下兩種: : 1) 1)向量法向量法: :字符串在存儲器中占用一片連續(xù)空間字符串在存儲器中占用一片連續(xù)空間, ,每每個字節(jié)存放一個字符代碼個字節(jié)存放一個字符代碼, ,字符串的所有字符在物理上字符串的所有字符在物理上是鄰近的是鄰近的.( .(例中存儲字長為例中存儲字長為3232位位, ,每個主存單元存放每個主存單元存放4 4個字符個字符) )49 46

31、20 583E 30 20 5448 45 4E 2052 45 41 4428 43 29 20IFX 0THENREAD(C)圖圖2.2 2.2 字符串的向量存放方案字符串的向量存放方案(a)(b)1 1、字符和字符串的表示、字符和字符串的表示 (2) (2) 字符串的存放字符串的存放 1) 1) 優(yōu)點優(yōu)點: :最簡單最節(jié)省存儲空間最簡單最節(jié)省存儲空間; ; 缺點缺點: :當字符串需進行插入刪除操作時當字符串需進行插入刪除操作時, ,在刪除或在刪除或插入字符后面的子字符串需要全部重新分配存儲空間插入字符后面的子字符串需要全部重新分配存儲空間, ,費時費時. . 2) 2)串表法串表法: :

32、字符串的每個字符代碼后面有一個鏈接字字符串的每個字符代碼后面有一個鏈接字, ,用以指出下一個字符的存儲單元地址用以指出下一個字符的存儲單元地址. . 優(yōu)點優(yōu)點: :在對字符串進行刪除和插入操作時在對字符串進行刪除和插入操作時, ,只需要只需要修改相應(yīng)字符后面的鏈接字即可修改相應(yīng)字符后面的鏈接字即可. . 缺點缺點: :鏈接字占據(jù)了存儲單元的大部分空間鏈接字占據(jù)了存儲單元的大部分空間, ,主存主存的有效利用率下降的有效利用率下降. .2 2、漢字的表示、漢字的表示( (略略) ) 一、數(shù)據(jù)在存取和傳輸過程中出錯的原因:一、數(shù)據(jù)在存取和傳輸過程中出錯的原因:1 1、元器件質(zhì)量不可靠;、元器件質(zhì)量不

33、可靠;2 2、線路工藝不過關(guān);、線路工藝不過關(guān);3 3、遠距離傳輸帶來的干擾等等、遠距離傳輸帶來的干擾等等. .二、錯誤檢測與糾正二、錯誤檢測與糾正 方法有很多方法有很多, ,常見的一種是對數(shù)據(jù)信息進行擴充常見的一種是對數(shù)據(jù)信息進行擴充, ,加入新代碼加入新代碼, ,使之與原數(shù)據(jù)共同按某種規(guī)律編碼后具使之與原數(shù)據(jù)共同按某種規(guī)律編碼后具有發(fā)現(xiàn)錯誤的能力有發(fā)現(xiàn)錯誤的能力, ,有些甚至具有指出具體的錯誤位有些甚至具有指出具體的錯誤位置并使機器校正的能力置并使機器校正的能力. .我們稱之為我們稱之為“校驗校驗碼碼”(check code).(check code). 幾種常用的校驗碼包括幾種常用的校驗

34、碼包括: :奇偶校驗碼、奇偶校驗碼、CRCCRC碼、碼、海明碼等海明碼等. . 2.1.3 2.1.3 校驗碼校驗碼(check code)(check code) 三、奇偶校驗碼三、奇偶校驗碼 廣泛用于主存的讀寫校驗或廣泛用于主存的讀寫校驗或ASCII碼字符傳碼字符傳送過程中的檢查送過程中的檢查. 1 1、編碼方式、編碼方式: :在在n n位有效信息位上加一個二進制位位有效信息位上加一個二進制位作為校驗位作為校驗位P,P,構(gòu)成構(gòu)成n+1n+1位的奇偶校驗碼位的奇偶校驗碼. .校驗位校驗位P P的位置的位置可以在有效信息位的最高位之前可以在有效信息位的最高位之前, ,也可在有效信息位的也可在有

35、效信息位的最高位之后最高位之后. . 奇校驗奇校驗(odd):(odd):編碼中含編碼中含“1 1”的個數(shù)的個數(shù)( (連同校驗位連同校驗位) )共共有奇數(shù)個有奇數(shù)個“1 1”; ; 偶校驗偶校驗(even):(even):編碼中含編碼中含“1 1”的個數(shù)的個數(shù)( (連同校驗位連同校驗位) )共有偶共有偶數(shù)個數(shù)個“1 1”. . 例例: :信息編碼為信息編碼為:10001000B:10001000B 若采用偶校驗若采用偶校驗, ,則校驗位則校驗位P P可由下式確定:可由下式確定: P Peveneven=D=D0 0 D D1 1 D D2 2 D D3 3 D D4 4 D D5 5 D D6

36、 6 D D7 7 (2-1)(2-1) 若若D D7 7-D-D0 0中有偶數(shù)個中有偶數(shù)個1, 1,則則“偶形成偶形成”=0=0 若若D D7 7-D-D0 0中有奇數(shù)個中有奇數(shù)個1, 1,則則“偶形成偶形成”=1=1 若采用奇校驗若采用奇校驗, ,則校驗位則校驗位P P可由下式確定:可由下式確定: P Poddodd=P=Peven even (2-2)(2-2) 根據(jù)式根據(jù)式(2-1)(2-1)和和(2-2)(2-2),可得到,可得到9 9位奇偶校驗位位奇偶校驗位P P的形成的形成電路電路, ,如圖如圖2.32.3示示. .+ + + + + + + + +偶校驗位形成偶校驗位形成D D

37、7 7D D6 6 D D5 5D D4 4D D3 3D D2 2D D1 1D D0 0奇校驗位形成奇校驗位形成圖圖2.3 2.3 奇偶校驗位奇偶校驗位P P的形成電路的形成電路P PevenevenP Poddodd+ +偶校錯偶校錯校驗位校驗位D7D6 D5D4D3D2D1D0奇校錯奇校錯圖圖2.4 奇偶校驗位電路奇偶校驗位電路Peven 2 2、奇偶校驗碼的奇偶校驗碼的校驗校驗:8:8位代碼與位代碼與1 1位校驗位同時位校驗位同時送入偶校驗邏輯電路送入偶校驗邏輯電路. .若若“偶校錯偶校錯”=0,=0,表明數(shù)據(jù)無奇表明數(shù)據(jù)無奇數(shù)個錯數(shù)個錯, ,可視為正確可視為正確. . 3 3、主存

38、讀寫過程中的奇偶校驗、主存讀寫過程中的奇偶校驗 假設(shè)假設(shè)CPUCPU準備寫入主存某單元的數(shù)據(jù)為準備寫入主存某單元的數(shù)據(jù)為01010101,01010101,若采用奇校驗若采用奇校驗, ,經(jīng)過奇偶校驗電路經(jīng)過奇偶校驗電路, ,形成奇偶校驗位形成奇偶校驗位, ,實實際寫入貯存的際寫入貯存的9 9位校驗碼為位校驗碼為101010101(101010101(最高位為校驗最高位為校驗位位). ).從主存單元讀出的從主存單元讀出的9 9位信息首先送入奇偶校驗電位信息首先送入奇偶校驗電路路, ,若若9 9位信息中位信息中“1 1”的個數(shù)為奇數(shù)個的個數(shù)為奇數(shù)個, ,表示讀出信息正表示讀出信息正確確, ,將校驗

39、位去掉后的將校驗位去掉后的8 8位數(shù)據(jù)送位數(shù)據(jù)送CPU;CPU;否則否則, ,表示讀出表示讀出信息不正確信息不正確, ,向向CPUCPU發(fā)出奇偶校驗出錯的中斷請求信發(fā)出奇偶校驗出錯的中斷請求信號號. .CPU奇偶奇偶校驗校驗電路電路主存主存8 8位位9 9位位8 8位位9 9位位圖圖2.5 2.5 主存讀寫過程中的奇偶校驗主存讀寫過程中的奇偶校驗 第二章第二章 運算方法和運算器運算方法和運算器 數(shù)據(jù)表示數(shù)據(jù)表示定點運算定點運算定點運算器的構(gòu)成與實例定點運算器的構(gòu)成與實例浮點數(shù)的加減運算浮點數(shù)的加減運算2.22.2定點運算定點運算2.2.1 2.2.1 移位運算移位運算無符號數(shù)的移位稱為無符號數(shù)

40、的移位稱為邏輯移位邏輯移位;有符號數(shù)的移位稱為有符號數(shù)的移位稱為算術(shù)移位算術(shù)移位. . 1. 1.邏輯移位的規(guī)則邏輯移位的規(guī)則: : 邏輯左移時邏輯左移時, ,高位丟失高位丟失, ,低位添低位添0 0; 邏輯右移時邏輯右移時, ,高位添高位添0,0,低位丟失低位丟失. . 例例: :寄存器內(nèi)容為寄存器內(nèi)容為01010011,01010011,則則: : 邏輯左移時為邏輯左移時為1010011010100110 邏輯右移時為邏輯右移時為0010100100101001 2.22.2定點運算定點運算 2.2.1 2.2.1 移位運算移位運算 2.2.算術(shù)移位的規(guī)則算術(shù)移位的規(guī)則: :移位移位( (

41、相對于小數(shù)點相對于小數(shù)點) )后后符符號位不變號位不變, ,數(shù)值大小發(fā)生變化數(shù)值大小發(fā)生變化, ,左移左移n n位相當于該數(shù)位相當于該數(shù)乘以乘以2 2n n, ,右移右移n n位相當于該數(shù)除以位相當于該數(shù)除以2 2n n. . 例例:X=+0.1010110, y=-0.0100111,:X=+0.1010110, y=-0.0100111,則則: : X X右移一位右移一位:+0.0101011:+0.0101011 y y右移一位右移一位:-0.0010011:-0.0010011 X X左移一位左移一位:+0.0101100:+0.0101100 y y左移一位左移一位-0.100111

42、0-0.1001110 結(jié)論結(jié)論: :對真值而言對真值而言, ,無論正數(shù)或負數(shù)無論正數(shù)或負數(shù), ,在左移或右移時在左移或右移時, ,空空出位一律以出位一律以“0 0”補入補入. .原碼移位規(guī)則原碼移位規(guī)則: : 不論正數(shù)、負數(shù)不論正數(shù)、負數(shù), ,在左移或右移時在左移或右移時, ,符號位不變符號位不變, ,空出位一律補空出位一律補“0”.0”. 補碼移位規(guī)則補碼移位規(guī)則: : 正數(shù)正數(shù): :符號位不變符號位不變, ,不論左移或右移不論左移或右移, ,空出位一空出位一律補律補“0”.0”. 負數(shù)負數(shù): :符號位不變符號位不變, ,左移時左移時, ,空出位補空出位補“0”0”;右;右移時移時, ,空

43、出位補空出位補“1”.1”. 反碼移位規(guī)則反碼移位規(guī)則: : 不論正數(shù)、負數(shù)不論正數(shù)、負數(shù), ,在左移或右移時在左移或右移時, ,符號位不變符號位不變. .對于正數(shù)對于正數(shù), ,空出位一律補空出位一律補“0”;0”;對于負數(shù)對于負數(shù), ,空出空出位一律補位一律補“1”.1”.0 0(a) (a) 真值為正真值為正0 0(b) (b) 負數(shù)原碼負數(shù)原碼0 00 0(c) (c) 負數(shù)補碼負數(shù)補碼1 1(d) (d) 負數(shù)反碼負數(shù)反碼圖圖2.62.6 實現(xiàn)算術(shù)左移和右移操作的硬件示意圖實現(xiàn)算術(shù)左移和右移操作的硬件示意圖2.22.2定點運算定點運算 2.2.2 2.2.2 補碼加法運算補碼加法運算1

44、. 1.補碼加法的公式補碼加法的公式:x:x補補+y+y補補=x+y=x+y補補 (mod 2)(mod 2)2.2.補碼加法的特點補碼加法的特點: :符號位作為數(shù)的一部分一起參加運算符號位作為數(shù)的一部分一起參加運算; ;在模在模2 2的意義下相加的意義下相加, ,超過超過2 2的進位要丟掉的進位要丟掉. .例例:x=+0.1001,y=-0.0101,:x=+0.1001,y=-0.0101,求求x+yx+y解解: x: x補補=0.1001, y=0.1001, y補補=1.1011=1.1011 xx補補 0.1 0 0 10.1 0 0 1 y y補補 1.1 0 1 11.1 0 1

45、 1 x+y x+y補補 1 0. 0 1 0 01 0. 0 1 0 0 故故: x+y=0.0100: x+y=0.0100+ +進位超過進位超過2 2,丟掉,丟掉2.2.32.2.3補碼減法運算補碼減法運算1. 1.補碼減法的公式補碼減法的公式:x-y:x-y補補=x=x補補-y-y補補=x=x補補+-y+-y補補2.2.補碼減法的特點補碼減法的特點: :減法運算可以化為加法減法運算可以化為加法, ,可和常規(guī)加法運算使用可和常規(guī)加法運算使用 同一加法器電路同一加法器電路, ,從而簡化計算機的設(shè)計從而簡化計算機的設(shè)計. .3.3.從從yy補補求求-y-y補補的法則是的法則是: :對對yy補

46、補包括符號位包括符號位: :“求反且末位加求反且末位加1 1”, ,即即 可得可得-y-y補補. .例例:x=+0.1101,y=+0.0110,:x=+0.1101,y=+0.0110,求求x-y=?x-y=?解解:x:x補補=0.1101,y=0.1101,y補補=0.0110,-y=0.0110,-y補補= y y補補+2+2-4-4=1.1001+0.0001=1.1010=1.1001+0.0001=1.1010 x-yx-y補補 =x=x補補+-y+-y補補=10.0 1 1 1 =0.0111=10.0 1 1 1 =0.0111所以所以 x-y=+0.0111x-y=+0.01

47、112.2.32.2.3溢出及其檢測溢出及其檢測1. 1.定義定義: :運算的結(jié)果超出機器所能表示的數(shù)據(jù)范圍運算的結(jié)果超出機器所能表示的數(shù)據(jù)范圍. . 分上溢分上溢( (兩正數(shù)兩正數(shù)相加結(jié)果符號為相加結(jié)果符號為1) 1)和下溢和下溢( (兩負數(shù)相加結(jié)果符號為兩負數(shù)相加結(jié)果符號為0) .0) .例例:x=+0.1011,y=+0.1001,:x=+0.1011,y=+0.1001,求求x+y.x+y.解解:x:x補補=0.1011, y=0.1011, y補補=0.1001=0.1001 xx補補 0.1 0 1 10.1 0 1 1 yy補補 0.1 0 0 10.1 0 0 1+ +x+yx

48、+y補補 1.0 1 0 01.0 1 0 0 注意:補碼注意:補碼XX補補與真值與真值X X的轉(zhuǎn)換。的轉(zhuǎn)換。 當當X X=0=0時,時,XX補補=X=X;當;當X0X0時,時,XX補補=M+X =M+X 注意注意:只有當兩數(shù)同號時只有當兩數(shù)同號時,才會出現(xiàn)溢出才會出現(xiàn)溢出. 2 2、幾種檢測方法、幾種檢測方法 (1)(1)單符號位法單符號位法1( 1(根據(jù)兩個操作數(shù)的符號與結(jié)根據(jù)兩個操作數(shù)的符號與結(jié)果的符號判別溢出果的符號判別溢出): ):當操作數(shù)中的加數(shù)與被加數(shù)當操作數(shù)中的加數(shù)與被加數(shù)符號相同時符號相同時, ,若結(jié)果的符號與操作數(shù)的符號不一若結(jié)果的符號與操作數(shù)的符號不一致致, ,表示溢出表

49、示溢出; ;否則否則, ,表示無溢出表示無溢出. . 設(shè)設(shè)X X的符號位為的符號位為X Xf f,Y,Y的符號為的符號為Y Yf f, ,運算結(jié)果的運算結(jié)果的符號為符號為S Sf f, ,則溢出邏輯表達式則溢出邏輯表達式: : V=XV=Xf fY Yf fS Sf f+X+Xf fY Yf fS Sf f V=0 V=0無溢出無溢出;V=1;V=1有溢出有溢出 (2)(2)單符號位法單符號位法2(2(進位判斷法進位判斷法): ):當最高有效當最高有效位產(chǎn)生進位而符號位無進位時位產(chǎn)生進位而符號位無進位時, ,產(chǎn)生上溢產(chǎn)生上溢; ;當最高當最高有效位無進位而符號位有進位時有效位無進位而符號位有進

50、位時, ,產(chǎn)生下溢產(chǎn)生下溢. .其邏其邏輯表達式為輯表達式為: : V=S V=S C C 其中其中S S為符號位產(chǎn)生的進位為符號位產(chǎn)生的進位,C,C為最高有效位為最高有效位產(chǎn)生的進位產(chǎn)生的進位. . (3) (3)雙符號位法雙符號位法( (變形補碼法變形補碼法): ):在進行補碼加減運在進行補碼加減運算時算時, ,如果只使用一個符號位如果只使用一個符號位, ,出現(xiàn)溢出的時候出現(xiàn)溢出的時候正確的符號位將被數(shù)值侵占正確的符號位將被數(shù)值侵占, ,符號位含義將發(fā)生符號位含義將發(fā)生混亂混亂. .因此因此, ,如果將符號位擴展為兩位如果將符號位擴展為兩位, ,即使出現(xiàn)即使出現(xiàn)溢出溢出, ,數(shù)值侵占了一個

51、符號位數(shù)值侵占了一個符號位, ,仍能保持最左邊仍能保持最左邊的符號為正確的符號為正確. . 溢出邏輯表達式為溢出邏輯表達式為:V=S:V=Sf1 f1 S Sf2f2+ 其中其中,S ,Sf1 f1和和S Sf2f2分別為最高符號位及第二符號位分別為最高符號位及第二符號位. .第二章第二章 運算方法和運算器運算方法和運算器 數(shù)據(jù)表示數(shù)據(jù)表示定點運算定點運算定點運算器的構(gòu)成與實例定點運算器的構(gòu)成與實例浮點數(shù)的加減運算浮點數(shù)的加減運算2.3.1 2.3.1 基本的二進制加基本的二進制加/ /減法器減法器一、回憶加法單元一、回憶加法單元 兩個一位二進制數(shù)兩個一位二進制數(shù)所使用的硬所使用的硬件件1 1

52、、一位半加器、一位半加器HA(Half Adder)(HA(Half Adder)(邏輯符號、真值表、邏輯符號、真值表、邏輯式邏輯式) )A S A B S C S=A A S A B S C S=A B BB C 0 0 0 0 =AB+ABB C 0 0 0 0 =AB+AB A A、B B :兩個一位加數(shù);:兩個一位加數(shù); 0 1 1 0 C=AB0 1 1 0 C=AB S S:半加和;:半加和; 1 0 1 01 0 1 0 C(Carry) C(Carry):半加進位:半加進位. 1 1 0 1. 1 1 0 1HAHA2 2、一位全加器、一位全加器FA(Full Adder)FA

53、(Full Adder):在半加器的基:在半加器的基礎(chǔ)上考慮進位輸入礎(chǔ)上考慮進位輸入(1)(1)邏輯符號、真值表、邏輯表達式邏輯符號、真值表、邏輯表達式A Ai i S Si i A Ai i B Bi i C Ci i S Si i C Ci+1 i+1 B Bi i C Ci+1 i+1 0 0 0 0 0 0 0 0 0 0C Ci i 0 0 1 1 00 0 1 1 0 0 1 0 1 0 0 1 0 1 0S Si i=A=Ai i B Bi i C Ci i 0 1 1 0 1 0 1 1 0 1C Ci+1i+1= A= Ai iB Bi i+B+Bi iC Ci i+C+Ci

54、 iA Ai i 1 0 0 1 0 1 0 0 1 0 =A =Ai iB Bi i +(A +(Ai i B Bi i)C)Ci i 1 0 1 0 1 1 0 1 0 1A Ai i,B Bi i:n n位加法器位加法器 1 1 0 0 11 1 0 0 1 第第i i位的加數(shù)位的加數(shù) 1 1 1 1 11 1 1 1 1FAFA C Ci+1i+1 S Si i C Ci+1 i+1 S Si i C Ci i A Ai i B Bi i A Ai i B Bi i C Ci i &異或異或&異或異或&或或或或(2)全加器的硬件實現(xiàn)全加器的硬件實現(xiàn):電路形式有很多電路形式有很多,選兩例

55、典選兩例典型做介紹型做介紹.第一種在教材第一種在教材P.35圖圖2.2(b). 圖圖2.7 2.7 全加器的硬件實現(xiàn)全加器的硬件實現(xiàn)(3)(3)計算全加器電路的時間延遲:從電路的輸入產(chǎn)生起計算全加器電路的時間延遲:從電路的輸入產(chǎn)生起直到輸出出現(xiàn)所經(jīng)過的時間直到輸出出現(xiàn)所經(jīng)過的時間, ,用用T T的個數(shù)表示的個數(shù)表示.T.T叫延遲叫延遲單位單位. .以此表格為依據(jù)可推以此表格為依據(jù)可推算出前兩種算出前兩種FAFA的時的時間延遲間延遲. .第一例第一例: :生成生成S Si i的延遲的延遲為為6T,6T,生成生成C Ci+1i+1的延遲的延遲為為5T.5T.第二例第二例: :生成生成S Si i、

56、C Ci+1i+1的延遲均為的延遲均為4T4T. .可見同功能不同形式可見同功能不同形式的電路具有不同的時的電路具有不同的時間延遲間延遲. .與非門與非門NANDNAND T T邏輯邏輯符號符號或非門或非門NORNOR T T非非 門門NOTNOT T T與與 門門ANDAND 2T 2T 或或 門門OROR 2T 2T異或門異或門XORXOR 3T 3T同或門同或門XNORXNOR 3T 3T與或非與或非(接線(接線邏輯)邏輯)AOIAOIT+TrcT+TrcTT二、由加法單元二、由加法單元FAFA組成加法器組成加法器 ( (一一) )加法器類型及進位鏈加法器類型及進位鏈 1 1、加法器的分

57、類、加法器的分類 (1)(1)串行加法器串行加法器: :只有一個全加器只有一個全加器, ,數(shù)據(jù)逐位串行數(shù)據(jù)逐位串行送入加法器送入加法器. .(2)(2)并行加法器并行加法器: :由多個加由多個加 法器組成法器組成, ,加法器的個加法器的個數(shù)與操作數(shù)的位數(shù)相同數(shù)與操作數(shù)的位數(shù)相同. .2 2、并行加法器的進位方式、并行加法器的進位方式 (1)(1)進位鏈進位鏈: :進位傳遞邏輯進位傳遞邏輯, ,分為串行和并行進位分為串行和并行進位兩種兩種. (2) (2)基本進位公式基本進位公式 設(shè)相加的兩個操作數(shù)為設(shè)相加的兩個操作數(shù)為: : A=A A=A n-1n-1 A A n-2 n-2 A Ai iA

58、 A0 0 B=B B=B n-1 n-1 B B n-2n-2B Bi iB B0 0 則進位信號的邏輯式為則進位信號的邏輯式為: : C C i+1i+1=A=Ai iB Bi i + (A + (Ai i B Bi i)C)Ci i=G=Gi i + P + Pi iC Ci i G Gi i= A= Ai i B Bi i P Pi i= A= Ai i B Bi i 其中其中, ,P Pi i稱為稱為進位傳遞函數(shù)進位傳遞函數(shù),G,Gi i稱為稱為進位產(chǎn)生進位產(chǎn)生函數(shù)函數(shù). . ( (二二) ) 串行進位的并行加法器:將串行進位的并行加法器:將n n個全加器串接個全加器串接起來起來,

59、,可以進行兩個可以進行兩個n n位數(shù)相加位數(shù)相加. .其中的進位是逐級其中的進位是逐級形成的形成的, ,每一級的進位直接依賴于前一級的進位每一級的進位直接依賴于前一級的進位. .串行進位的邏輯表達式為串行進位的邏輯表達式為: : C C1 1=A=A0 0B B0 0 + (A + (A0 0 B B0 0)C)C0 0=G=G0 0 + P + P0 0C C0 0 C C2 2=A=A1 1B B1 1 + (A + (A1 1 B B1 1)C)C1 1=G=G1 1 + P + P1 1C C1 1 C Cn n=A=A n-1 n-1 B B n-1n-1 + (A + (A n-1

60、n-1 B B n-1n-1)C )C n-1n-1=G =G n-1n-1 + P + P n-1 n-1 C C n-1 n-1 串行進位的進位輸出信號串行進位的進位輸出信號C Ci+1i+1與低位的進位信號與低位的進位信號C Ci i有關(guān)有關(guān); ;串行進位的并行加法器的總延遲時間與字長成正比串行進位的并行加法器的總延遲時間與字長成正比. .例例: :行波行波( (串行串行) )進位的補碼加法進位的補碼加法/ /減法器減法器: :可由可由n n個一位的全加器個一位的全加器(FA)(FA)聯(lián)成一個聯(lián)成一個n n位的行波進位并行加減器位的行波進位并行加減器.M.M為方式控制輸入線為方式控制輸入

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論