第6章 數(shù)字電子技術(shù)_第1頁(yè)
第6章 數(shù)字電子技術(shù)_第2頁(yè)
第6章 數(shù)字電子技術(shù)_第3頁(yè)
第6章 數(shù)字電子技術(shù)_第4頁(yè)
第6章 數(shù)字電子技術(shù)_第5頁(yè)
已閱讀5頁(yè),還剩77頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、6.4 寄存器寄存器第六章第六章 時(shí)序邏輯電路時(shí)序邏輯電路 6.2 時(shí)序邏輯電路的分析方法時(shí)序邏輯電路的分析方法6.3 計(jì)數(shù)器計(jì)數(shù)器6.6 時(shí)序邏輯電路的設(shè)計(jì)時(shí)序邏輯電路的設(shè)計(jì)6.1 時(shí)序邏輯電路概述時(shí)序邏輯電路概述 引 言 數(shù)字電路分為兩大類,組合邏輯電路和時(shí)序邏輯電路。組數(shù)字電路分為兩大類,組合邏輯電路和時(shí)序邏輯電路。組合電路已在第四章討論過(guò)了,有許多實(shí)際的問(wèn)題僅用組合電合電路已在第四章討論過(guò)了,有許多實(shí)際的問(wèn)題僅用組合電路是解決不了的。比如自動(dòng)售飲料機(jī)的控制系統(tǒng),它不僅能路是解決不了的。比如自動(dòng)售飲料機(jī)的控制系統(tǒng),它不僅能知道你當(dāng)前輸入了什么面值的硬幣,還要記住你曾經(jīng)輸入了知道你當(dāng)前輸入

2、了什么面值的硬幣,還要記住你曾經(jīng)輸入了什么面值的硬幣,累加后決定是否輸出一瓶飲料。在這個(gè)系什么面值的硬幣,累加后決定是否輸出一瓶飲料。在這個(gè)系統(tǒng)中不僅有組合電路還要含有記憶元件,這樣的電路就是時(shí)統(tǒng)中不僅有組合電路還要含有記憶元件,這樣的電路就是時(shí)序邏輯電路。時(shí)序電路與組合電路相比較有些什么特點(diǎn)?時(shí)序邏輯電路。時(shí)序電路與組合電路相比較有些什么特點(diǎn)?時(shí)序電路的基本結(jié)構(gòu)怎樣?如何分析和設(shè)計(jì)一個(gè)時(shí)序電路?時(shí)序電路的基本結(jié)構(gòu)怎樣?如何分析和設(shè)計(jì)一個(gè)時(shí)序電路?時(shí)序電路有哪些典型的集成芯片?這就是本章要學(xué)習(xí)的主要內(nèi)序電路有哪些典型的集成芯片?這就是本章要學(xué)習(xí)的主要內(nèi)容。在本章的學(xué)習(xí)中要用心體會(huì)時(shí)序電路與組合

3、電路的不同。容。在本章的學(xué)習(xí)中要用心體會(huì)時(shí)序電路與組合電路的不同。 6.1 時(shí)序邏輯電路概述時(shí)序邏輯電路概述6.1.16.1.1 時(shí)序邏輯電路的概念及特點(diǎn)時(shí)序邏輯電路的概念及特點(diǎn) 時(shí)序邏輯電路時(shí)序邏輯電路任何一個(gè)時(shí)刻的輸出狀態(tài)不僅取決于當(dāng)時(shí)的任何一個(gè)時(shí)刻的輸出狀態(tài)不僅取決于當(dāng)時(shí)的輸入信號(hào),還與電路的原狀態(tài)有關(guān)輸入信號(hào),還與電路的原狀態(tài)有關(guān)。 時(shí)序電路的特點(diǎn):(時(shí)序電路的特點(diǎn):(1)含有記憶元件(最常用的是觸發(fā)器)。)含有記憶元件(最常用的是觸發(fā)器)。 (2)具有反饋通道。)具有反饋通道。組合電路組合電路觸發(fā)器觸發(fā)器電路電路1XiXZ1Zj1QmQ1DDm輸入輸入信號(hào)信號(hào)信號(hào)信號(hào)輸出輸出觸發(fā)器觸

4、發(fā)器觸發(fā)器觸發(fā)器輸入信號(hào)輸入信號(hào)輸出信號(hào)輸出信號(hào)CP6.2 時(shí)序邏輯電路的分析方法時(shí)序邏輯電路的分析方法6.2.1 分析時(shí)序邏輯電路的一般步驟分析時(shí)序邏輯電路的一般步驟 1由邏輯圖寫出下列各邏輯方程式:由邏輯圖寫出下列各邏輯方程式: (1)各觸發(fā)器的時(shí)鐘方程。)各觸發(fā)器的時(shí)鐘方程。 (2)時(shí)序電路的輸出方程。)時(shí)序電路的輸出方程。 (3)各觸發(fā)器的驅(qū)動(dòng)方程。)各觸發(fā)器的驅(qū)動(dòng)方程。 2將驅(qū)動(dòng)方程代入相應(yīng)觸發(fā)器的特性方程,求得時(shí)序邏輯電將驅(qū)動(dòng)方程代入相應(yīng)觸發(fā)器的特性方程,求得時(shí)序邏輯電路的狀態(tài)方程。路的狀態(tài)方程。 3根據(jù)狀態(tài)方程和輸出方程,列出該時(shí)序電路的狀態(tài)表,畫根據(jù)狀態(tài)方程和輸出方程,列出該時(shí)

5、序電路的狀態(tài)表,畫出狀態(tài)圖或時(shí)序圖。出狀態(tài)圖或時(shí)序圖。 4根據(jù)電路的狀態(tài)表或狀態(tài)圖說(shuō)明給定時(shí)序邏輯電路的邏根據(jù)電路的狀態(tài)表或狀態(tài)圖說(shuō)明給定時(shí)序邏輯電路的邏輯功能。輯功能。6.2.2 時(shí)序邏輯電路的分析舉例時(shí)序邏輯電路的分析舉例例:例:試分析如圖所示的時(shí)序邏輯電路試分析如圖所示的時(shí)序邏輯電路該電路為異步時(shí)序邏輯電路。具體分析如下:該電路為異步時(shí)序邏輯電路。具體分析如下:(1)寫出各邏輯方程式。)寫出各邏輯方程式。時(shí)鐘方程:時(shí)鐘方程:CP0=CP (時(shí)鐘脈沖源的上升沿觸發(fā)。(時(shí)鐘脈沖源的上升沿觸發(fā)。)CP1=Q0 (當(dāng)(當(dāng)FF0的的Q0由由01時(shí),時(shí),Q1才可能改變狀態(tài)。)才可能改變狀態(tài)。)C1F

6、F01D1FFC11DCP0QQ1&Z6.2 6.2 時(shí)序電路的一般分析方法時(shí)序電路的一般分析方法 (3)作狀態(tài)轉(zhuǎn)換表。)作狀態(tài)轉(zhuǎn)換表。(2)將各驅(qū)動(dòng)方程代入)將各驅(qū)動(dòng)方程代入D觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:nnQDQ0010 (CP由由01時(shí)此式有效)時(shí)此式有效) 1111nnQDQ (Q0由由01時(shí)此式有效)時(shí)此式有效) nnQQZ01 nQD00 nQD11 現(xiàn)現(xiàn) 態(tài)態(tài)次次 態(tài)態(tài)輸輸 出出時(shí)鐘脈沖時(shí)鐘脈沖Q1 n Q0 n Q1 n+1 Q0 n+1 ZCP1 CP0 CP1=Q0時(shí)鐘方程:時(shí)鐘方程:CP0=CP0 0 1 0 0

7、 0 111 1 01 0 1 010 0 100 0 輸出方程:輸出方程:各觸發(fā)器的驅(qū)動(dòng)方程:各觸發(fā)器的驅(qū)動(dòng)方程:6.2 6.2 時(shí)序電路的一般分析方法時(shí)序電路的一般分析方法 (5)邏輯功能分析)邏輯功能分析 該電路一共有該電路一共有4個(gè)狀態(tài)個(gè)狀態(tài)00、01、10、11,在,在CP作用下,按照減作用下,按照減1規(guī)律循規(guī)律循環(huán)變化,所以是一個(gè)環(huán)變化,所以是一個(gè)4進(jìn)制減法計(jì)進(jìn)制減法計(jì)數(shù)器數(shù)器,Z是借位信號(hào)。是借位信號(hào)。CPZ1QQ0(4)作狀態(tài)轉(zhuǎn)換圖、時(shí)序圖。)作狀態(tài)轉(zhuǎn)換圖、時(shí)序圖。Q/0/0/110111000Q/0016.2 6.2 時(shí)序電路的一般分析方法時(shí)序電路的一般分析方法 解:該電路為

8、同步時(shí)序邏輯電路,時(shí)鐘方程可以不寫。解:該電路為同步時(shí)序邏輯電路,時(shí)鐘方程可以不寫。(1)寫出輸出方程:)寫出輸出方程: nnQQXZ01 )(nQXJ10 10 KnQXJ01 11 K (2)寫出驅(qū)動(dòng)方程:)寫出驅(qū)動(dòng)方程:例:例:試分析如圖所示的時(shí)序邏輯電路。試分析如圖所示的時(shí)序邏輯電路。1J1KC11J1KC11Q0QCPXZ=1=1=1&FF1FF0116.2 6.2 時(shí)序電路的分析方法時(shí)序電路的分析方法 (4)作狀態(tài)轉(zhuǎn)換表及狀態(tài)圖)作狀態(tài)轉(zhuǎn)換表及狀態(tài)圖 當(dāng)當(dāng)X=0時(shí):觸發(fā)器的次態(tài)方程簡(jiǎn)化為:時(shí):觸發(fā)器的次態(tài)方程簡(jiǎn)化為:作出作出X=0的狀態(tài)表:的狀態(tài)表:nnnnnQQXQKQJ

9、Q01000010)( nnnnnQQXQKQJQ10111111) (nnnQQQ0110 nnnQQQ1011 輸出方程簡(jiǎn)化為:輸出方程簡(jiǎn)化為:nnQQZ01 現(xiàn)現(xiàn) 態(tài)態(tài)次次 態(tài)態(tài)輸輸 出出Q1 n Q0 n Q1 n+1 Q0 n+1 Z 0 00 1 0 11 0 0 1 0 0 0 0 1 nnQQXZ01)( (3)寫出)寫出JK觸發(fā)器的特性方程,然后將各驅(qū)動(dòng)方程代入觸發(fā)器的特性方程,然后將各驅(qū)動(dòng)方程代入JK觸發(fā)器觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:的特性方程,得各觸發(fā)器的次態(tài)方程:X=0X=0時(shí)的狀態(tài)圖時(shí)的狀態(tài)圖/1Q/0Q/0001101006.2 6.2 時(shí)序電路的一般分

10、析方法時(shí)序電路的一般分析方法 作出作出X=1的狀態(tài)表:的狀態(tài)表:將將X=0與與X=1的狀態(tài)圖合并起來(lái)得完整的狀態(tài)圖。的狀態(tài)圖合并起來(lái)得完整的狀態(tài)圖。nnnQQQ0110 nnnQQQ1011 nnQQZ01 各觸發(fā)器的次態(tài)方程:各觸發(fā)器的次態(tài)方程:nnnnnQQXQKQJQ01000010)( nnnnnQQXQKQJQ10111111) (現(xiàn)現(xiàn) 態(tài)態(tài)次次 態(tài)態(tài)輸輸 出出Q1 n Q0 n Q1 n+1 Q0 n+1 Z 0 01 0 1 00 1 1 0 1 0 0 0 0 nnQQXZ01)( 當(dāng)當(dāng)X=1時(shí):觸發(fā)器的次態(tài)方程簡(jiǎn)化為:時(shí):觸發(fā)器的次態(tài)方程簡(jiǎn)化為:輸出方程簡(jiǎn)化為:輸出方程簡(jiǎn)化為

11、:X=1X=1時(shí)的狀態(tài)圖時(shí)的狀態(tài)圖/00010/0Q0101/1Q1/01/0010/10/11/11/10/00/0000/00/0101/01/0完整的狀態(tài)圖完整的狀態(tài)圖6.2 6.2 時(shí)序電路的一般分析方法時(shí)序電路的一般分析方法 (5)畫時(shí)序波形圖。)畫時(shí)序波形圖。XCP1234560QZ1Q 根據(jù)狀態(tài)表或狀態(tài)圖:根據(jù)狀態(tài)表或狀態(tài)圖: 可畫出在可畫出在CP脈沖作用下電路的時(shí)序圖。脈沖作用下電路的時(shí)序圖。1/01/0010/10/11/11/10/00/0000/00/0101/01/06.2 6.2 時(shí)序電路的一般分析方法時(shí)序電路的一般分析方法 (6)邏輯功能分析:)邏輯功能分析: 當(dāng)當(dāng)

12、X=1時(shí),按照減時(shí),按照減1規(guī)律規(guī)律從從10010010循環(huán)變化,循環(huán)變化,并每當(dāng)轉(zhuǎn)換為并每當(dāng)轉(zhuǎn)換為00狀態(tài)(最小數(shù))時(shí),狀態(tài)(最小數(shù))時(shí),輸出輸出Z=1。 該電路一共有該電路一共有3個(gè)狀態(tài)個(gè)狀態(tài)00、01、10。 當(dāng)當(dāng)X=0時(shí),按照加時(shí),按照加1規(guī)律從規(guī)律從00011000循環(huán)變化,循環(huán)變化,并每當(dāng)轉(zhuǎn)換為并每當(dāng)轉(zhuǎn)換為10狀態(tài)(最大數(shù))時(shí),輸出狀態(tài)(最大數(shù))時(shí),輸出Z=1。所以該電路是一個(gè)可控的所以該電路是一個(gè)可控的3進(jìn)制計(jì)數(shù)器。進(jìn)制計(jì)數(shù)器。1/01/0010/10/11/11/10/00/0000/00/0101/01/0完整的狀態(tài)圖完整的狀態(tài)圖6.2 6.2 時(shí)序電路的一般分析方法時(shí)序電路

13、的一般分析方法 6.3 計(jì)數(shù)器計(jì)數(shù)器計(jì)數(shù)器計(jì)數(shù)器用以統(tǒng)計(jì)輸入脈沖用以統(tǒng)計(jì)輸入脈沖CP個(gè)數(shù)的電路。個(gè)數(shù)的電路。計(jì)數(shù)器的分類:計(jì)數(shù)器的分類:(2)按數(shù)字的增減趨勢(shì)可分為加法計(jì)數(shù)器、減法計(jì)數(shù)器)按數(shù)字的增減趨勢(shì)可分為加法計(jì)數(shù)器、減法計(jì)數(shù)器和可逆計(jì)數(shù)器。和可逆計(jì)數(shù)器。(1)按計(jì)數(shù)進(jìn)制可分為二進(jìn)制計(jì)數(shù)器和非二進(jìn)制計(jì)數(shù)器。)按計(jì)數(shù)進(jìn)制可分為二進(jìn)制計(jì)數(shù)器和非二進(jìn)制計(jì)數(shù)器。 非二進(jìn)制計(jì)數(shù)器中最典型的是十進(jìn)制計(jì)數(shù)器。非二進(jìn)制計(jì)數(shù)器中最典型的是十進(jìn)制計(jì)數(shù)器。(3)按計(jì)數(shù)器中觸發(fā)器翻轉(zhuǎn)是否與計(jì)數(shù)脈沖同步分為同)按計(jì)數(shù)器中觸發(fā)器翻轉(zhuǎn)是否與計(jì)數(shù)脈沖同步分為同步計(jì)數(shù)器和異步計(jì)數(shù)器。步計(jì)數(shù)器和異步計(jì)數(shù)器。 6.3.1 二進(jìn)

14、制計(jì)數(shù)器二進(jìn)制計(jì)數(shù)器1異步二進(jìn)制計(jì)數(shù)器異步二進(jìn)制計(jì)數(shù)器 (1)二進(jìn)制異步加法計(jì)數(shù)器()二進(jìn)制異步加法計(jì)數(shù)器(2位)位) CPQ1 Q0012340 00 11 01 10 0觀察功能表:觀察功能表:FF0:來(lái)一個(gè)計(jì)數(shù)脈沖,向相反的狀態(tài)翻轉(zhuǎn)一次,所以應(yīng)接成:來(lái)一個(gè)計(jì)數(shù)脈沖,向相反的狀態(tài)翻轉(zhuǎn)一次,所以應(yīng)接成T觸發(fā)器。觸發(fā)器。FF1:Q0由由1變變0時(shí),向相反的狀態(tài)翻轉(zhuǎn)一次,所以也接成時(shí),向相反的狀態(tài)翻轉(zhuǎn)一次,所以也接成T觸發(fā)器。觸發(fā)器。 用用Q0做計(jì)數(shù)脈沖。做計(jì)數(shù)脈沖。1Q1KFF11JC1QQ00FF1JC11KQCP1計(jì)數(shù)脈沖計(jì)數(shù)脈沖16.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 工作原理:工作原理: 4個(gè)個(gè)

15、JK觸發(fā)器都接成觸發(fā)器都接成T觸發(fā)器。觸發(fā)器。 每當(dāng)每當(dāng)Q2由由1變變0,F(xiàn)F3向相反的狀態(tài)翻轉(zhuǎn)一次。向相反的狀態(tài)翻轉(zhuǎn)一次。 每來(lái)一個(gè)每來(lái)一個(gè)CP的下降沿時(shí),的下降沿時(shí),F(xiàn)F0向相反的狀態(tài)翻轉(zhuǎn)一次;向相反的狀態(tài)翻轉(zhuǎn)一次; 每當(dāng)每當(dāng)Q0由由1變變0,F(xiàn)F1向相反的狀態(tài)翻轉(zhuǎn)一次;向相反的狀態(tài)翻轉(zhuǎn)一次; 每當(dāng)每當(dāng)Q1由由1變變0,F(xiàn)F2向相反的狀態(tài)翻轉(zhuǎn)一次;向相反的狀態(tài)翻轉(zhuǎn)一次;(2) 4位二進(jìn)制異步加法計(jì)數(shù)器位二進(jìn)制異步加法計(jì)數(shù)器1J1KC12Q1QCPFF3R1KFF21JC1R1KFF1Q1J0C1RR0FF1JC11KQ31CR計(jì)數(shù)脈沖計(jì)數(shù)脈沖清零脈沖清零脈沖QQQQ6.3 6.3 計(jì)數(shù)器計(jì)

16、數(shù)器 用用“觀察法觀察法”作出該電路的時(shí)序波形圖和狀態(tài)圖作出該電路的時(shí)序波形圖和狀態(tài)圖:由時(shí)序圖可以看出,由時(shí)序圖可以看出,Q0、Ql、Q2、Q3的周期分別是計(jì)數(shù)脈沖的周期分別是計(jì)數(shù)脈沖(CP)周期的周期的2倍、倍、4倍、倍、8倍、倍、16倍,因而計(jì)數(shù)器也可作為分頻器。倍,因而計(jì)數(shù)器也可作為分頻器。CPQ0Q1Q2Q3000100110110101000101000010110010100Q1101111101110Q31011Q100001100Q201116.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 (3)二進(jìn)制異步減法計(jì)數(shù)器)二進(jìn)制異步減法計(jì)數(shù)器 工作原理:工作原理:D觸發(fā)器也都接成觸發(fā)器也都接成T觸發(fā)

17、器。觸發(fā)器。 由于是上升沿觸發(fā),則應(yīng)將低位觸發(fā)器的由于是上升沿觸發(fā),則應(yīng)將低位觸發(fā)器的Q端與相鄰高位觸發(fā)器端與相鄰高位觸發(fā)器的時(shí)鐘脈沖輸入端相連,即從的時(shí)鐘脈沖輸入端相連,即從Q端取借位信號(hào)。端取借位信號(hào)。 它也同樣具有分頻作用。它也同樣具有分頻作用。用用4個(gè)上升沿觸發(fā)的個(gè)上升沿觸發(fā)的D觸發(fā)器組成的觸發(fā)器組成的4位異步二進(jìn)制減法計(jì)數(shù)器。位異步二進(jìn)制減法計(jì)數(shù)器。C1CPFF31DQ3計(jì)數(shù)脈沖計(jì)數(shù)脈沖QRQ31DQQ22FFC1R2Q1DQQ11FFC1R1Q1DQQ00FFC1R0Q清零脈沖清零脈沖CR6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 如果觸發(fā)器采用下降沿觸發(fā),應(yīng)該如何連接?如果觸發(fā)器采用下降沿觸發(fā)

18、,應(yīng)該如何連接?二進(jìn)制異步減法計(jì)數(shù)器的時(shí)序波形圖和狀態(tài)圖:二進(jìn)制異步減法計(jì)數(shù)器的時(shí)序波形圖和狀態(tài)圖: 在異步計(jì)數(shù)器中,高位觸發(fā)器的狀態(tài)翻轉(zhuǎn)必須在相鄰觸發(fā)器產(chǎn)生進(jìn)位信號(hào)在異步計(jì)數(shù)器中,高位觸發(fā)器的狀態(tài)翻轉(zhuǎn)必須在相鄰觸發(fā)器產(chǎn)生進(jìn)位信號(hào)(加計(jì)數(shù))或借位信號(hào)(減計(jì)數(shù))之后才能實(shí)現(xiàn),所以工作速度較低。(加計(jì)數(shù))或借位信號(hào)(減計(jì)數(shù))之后才能實(shí)現(xiàn),所以工作速度較低。 為了提高計(jì)數(shù)速度,可采用同步計(jì)數(shù)器。為了提高計(jì)數(shù)速度,可采用同步計(jì)數(shù)器。 CPQ0Q1Q2Q3231 0QQQ Q00001111111011011100101110011010100001110110010101000011001000016

19、.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 2二進(jìn)制同步計(jì)數(shù)器二進(jìn)制同步計(jì)數(shù)器 由于該計(jì)數(shù)器的翻由于該計(jì)數(shù)器的翻轉(zhuǎn)規(guī)律性較強(qiáng),只需轉(zhuǎn)規(guī)律性較強(qiáng),只需用用“觀察法觀察法”就可設(shè)就可設(shè)計(jì)出電路:計(jì)出電路:因?yàn)槭且驗(yàn)槭恰巴酵健狈绞剑绞?,所以將所有觸發(fā)器的所以將所有觸發(fā)器的CP端連在一起,接計(jì)端連在一起,接計(jì)數(shù)脈沖。數(shù)脈沖。 然后分析狀態(tài)圖,然后分析狀態(tài)圖,選擇適當(dāng)?shù)倪x擇適當(dāng)?shù)腏K信號(hào)。信號(hào)。計(jì)數(shù)脈沖計(jì)數(shù)脈沖序號(hào)序號(hào)電電 路路 狀狀 態(tài)態(tài)等效十進(jìn)等效十進(jìn)制數(shù)制數(shù)Q3 Q2 Q1 Q00123456789101112131415160 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0

20、10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 0 0 001234567891011121314150(1)二進(jìn)制同步加法計(jì)數(shù)器)二進(jìn)制同步加法計(jì)數(shù)器6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 分析狀態(tài)圖可見:分析狀態(tài)圖可見:FF0:每來(lái)一個(gè):每來(lái)一個(gè)CP,向相反的狀態(tài)翻轉(zhuǎn)一次。所以選:向相反的狀態(tài)翻轉(zhuǎn)一次。所以選:J0=K0=1FF1:當(dāng):當(dāng)Q0=1時(shí),來(lái)一個(gè)時(shí),來(lái)一個(gè)CP,向相反的狀態(tài)翻轉(zhuǎn)一次。向相反的狀態(tài)翻轉(zhuǎn)一次。所以選:所以選:J1=K1= Q0FF2:當(dāng):當(dāng)Q0Q1=1時(shí),時(shí), 來(lái)一個(gè)來(lái)一個(gè)CP,向

21、相反的狀態(tài)翻轉(zhuǎn)一次。向相反的狀態(tài)翻轉(zhuǎn)一次。所以選:所以選:J2=K2= Q0Q1FF3: 當(dāng)當(dāng)Q0Q1Q2=1時(shí),時(shí), 來(lái)一個(gè)來(lái)一個(gè)CP,向相反的狀態(tài)翻轉(zhuǎn)一次。向相反的狀態(tài)翻轉(zhuǎn)一次。所以選:所以選:J3=K3= Q0Q1Q2FF1KRC11J清零脈沖清零脈沖Q01K1QQFFC11JQ1K計(jì)數(shù)脈沖計(jì)數(shù)脈沖&22FF1J&01JQCP3R1KQCRQC11&RR3FFC1Q16.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 (2)二進(jìn)制同步減法計(jì)數(shù)器)二進(jìn)制同步減法計(jì)數(shù)器 將加法計(jì)數(shù)器和減法計(jì)數(shù)器合并起來(lái),并引入一加將加法計(jì)數(shù)器和減法計(jì)數(shù)器合并起來(lái),并引入一加/減控制信號(hào)減控制信號(hào)X便構(gòu)成便

22、構(gòu)成4位二進(jìn)位二進(jìn)制同步可逆計(jì)數(shù)器,各觸發(fā)器的驅(qū)動(dòng)方程為:制同步可逆計(jì)數(shù)器,各觸發(fā)器的驅(qū)動(dòng)方程為:就構(gòu)成了就構(gòu)成了4位二進(jìn)制同步減法計(jì)數(shù)器。位二進(jìn)制同步減法計(jì)數(shù)器。(3)二進(jìn)制同步可逆計(jì)數(shù)器)二進(jìn)制同步可逆計(jì)數(shù)器21033QQQKJ 1022QQKJ 011QKJ 100 KJ21021033QQQXQQXQKJ 101022QQXQXQKJ 0011QXXQKJ 100 KJ分析分析4位二進(jìn)制同步減法計(jì)數(shù)器的狀態(tài)表,很容易看出,只要將各觸發(fā)器的驅(qū)動(dòng)位二進(jìn)制同步減法計(jì)數(shù)器的狀態(tài)表,很容易看出,只要將各觸發(fā)器的驅(qū)動(dòng)方程改為:方程改為:6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 作出二進(jìn)制同步可逆計(jì)數(shù)器的邏輯圖

23、:作出二進(jìn)制同步可逆計(jì)數(shù)器的邏輯圖:實(shí)現(xiàn)了可逆計(jì)數(shù)器的功能。實(shí)現(xiàn)了可逆計(jì)數(shù)器的功能。當(dāng)控制信號(hào)當(dāng)控制信號(hào)X=0時(shí),時(shí),F(xiàn)F1FF3中的各中的各J、K端分別與低位各觸發(fā)器的端相連,作端分別與低位各觸發(fā)器的端相連,作減法計(jì)數(shù)。減法計(jì)數(shù)。當(dāng)控制信號(hào)當(dāng)控制信號(hào)X=1時(shí),時(shí),F(xiàn)F1FF3中的各中的各J、K端分別與低位各觸發(fā)器的端分別與低位各觸發(fā)器的Q端相連,作端相連,作加法計(jì)數(shù)。加法計(jì)數(shù)。QR02Q11JQCRRQFF清零脈沖清零脈沖FFC10C11K1K計(jì)數(shù)脈沖計(jì)數(shù)脈沖1K1QC12RCPQ1J1FF1J1J1KQR3C1FF3Q&111111X 加加/ /減減控制信號(hào)控制信號(hào)6.3 6.3

24、計(jì)數(shù)器計(jì)數(shù)器 6.3.2 十進(jìn)制計(jì)數(shù)器十進(jìn)制計(jì)數(shù)器當(dāng)當(dāng)N=2n時(shí),就是前面討論的時(shí),就是前面討論的n位二進(jìn)制計(jì)數(shù)器;位二進(jìn)制計(jì)數(shù)器; 當(dāng)當(dāng)N2n時(shí),為非二進(jìn)制計(jì)數(shù)器。非二進(jìn)制計(jì)數(shù)時(shí),為非二進(jìn)制計(jì)數(shù)器。非二進(jìn)制計(jì)數(shù)器中最常用的是十進(jìn)制計(jì)數(shù)器。器中最常用的是十進(jìn)制計(jì)數(shù)器。N進(jìn)制計(jì)數(shù)器又稱模進(jìn)制計(jì)數(shù)器又稱模N計(jì)數(shù)器。計(jì)數(shù)器。6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 18421BCD碼異步十進(jìn)制加法計(jì)數(shù)器碼異步十進(jìn)制加法計(jì)數(shù)器CP2=Q1 (當(dāng)(當(dāng)FF1的的Q1由由10時(shí),時(shí),Q2才可能改變狀態(tài)。)才可能改變狀態(tài)。)用前面介紹的異步時(shí)序邏輯電路分析方法對(duì)該電路進(jìn)行分析:用前面介紹的異步時(shí)序邏輯電路分析方法對(duì)該電路

25、進(jìn)行分析:(1)寫出各邏輯方程式。)寫出各邏輯方程式。 時(shí)鐘方程:時(shí)鐘方程: CP0=CP (時(shí)鐘脈沖源的下降沿觸發(fā)。)(時(shí)鐘脈沖源的下降沿觸發(fā)。)CP1=Q0 (當(dāng)(當(dāng)FF0的的Q0由由10時(shí),時(shí),Q1才可能改變狀態(tài)。才可能改變狀態(tài)。)CP3=Q0 (當(dāng)(當(dāng)FF0的的Q0由由10時(shí),時(shí),Q3才可能改變狀態(tài)才可能改變狀態(tài))1J1KC12Q1QCPFF3R1KFF21JC1R1KFF1Q1J0C1RR0FF1JC11KQ31CR計(jì)數(shù)脈沖計(jì)數(shù)脈沖清零脈沖清零脈沖QQQQ&6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 各觸發(fā)器的驅(qū)動(dòng)方程:各觸發(fā)器的驅(qū)動(dòng)方程:1 0J10 KnQJ31 1 2J1 2KnnQ

26、QJ123 1 1K1 3K1J1KC12Q1QCPFF3R1KFF21JC1R1KFF1Q1J0C1RR0FF1JC11KQ31CR計(jì)數(shù)脈沖計(jì)數(shù)脈沖清零脈沖清零脈沖QQQQ&6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 (2)將各驅(qū)動(dòng)方程代入)將各驅(qū)動(dòng)方程代入JK觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:nnnnQQKQJQ0000010 (CP由由10時(shí)此式有效)時(shí)此式有效) nnnnnQQQKQJQ13111111 (Q0由由10時(shí)此式有效)時(shí)此式有效) nnnnQQKQJQ2222212 (Q1由由10時(shí)此式有效)時(shí)此式有效) nnnnnnQQQQKQJQ

27、312333313 (Q0由由10時(shí)此式有效)時(shí)此式有效) 10 J10 KnQJ31 11 K12 J12 KnnQQJ123 13 K6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 設(shè)初態(tài)為設(shè)初態(tài)為Q3Q2Q1Q0=0000,代入次態(tài)方程進(jìn)行計(jì)算,得狀態(tài)轉(zhuǎn)換表。,代入次態(tài)方程進(jìn)行計(jì)算,得狀態(tài)轉(zhuǎn)換表。nnQQ212 nnQQ010 (CP由由10時(shí))時(shí)) nnnQQQ1311 (Q0由由10時(shí))時(shí)) (Q1由由10時(shí))時(shí)) nnnnQQQQ31213 (Q0由由10時(shí))時(shí)) 現(xiàn)現(xiàn) 態(tài)態(tài)次次 態(tài)態(tài)時(shí)鐘脈沖時(shí)鐘脈沖Q3 n Q2 n Q1 n Q0Q3 n+1 Q2 n+1 Q1 n+1 Q0 n+1 CP3 C

28、P2 CP1 CP00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11000010011000010000010100110111000011001000000000000000001(3)作狀態(tài)轉(zhuǎn)換表。)作狀態(tài)轉(zhuǎn)換表。6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 2 8421BCD碼同步十進(jìn)制加法計(jì)數(shù)器碼同步十進(jìn)制加法計(jì)數(shù)器用前面介紹的同步時(shí)序邏輯電路分析方法對(duì)該電路進(jìn)行分析。用前面介紹的同步時(shí)序邏輯電路分析方法對(duì)該電路進(jìn)行分析。(1)寫出驅(qū)動(dòng)方程:)寫出驅(qū)動(dòng)方程:10 J10 KnnQQJ031 nQK01 nnQ

29、QJ012 nnQQK012 nnnQQQJ0123 n03QK QQ1KR1J2QC10C111JFFRQ計(jì)數(shù)脈沖計(jì)數(shù)脈沖清零脈沖清零脈沖CR0Q1JRFFQ11KC13FF1KRFFC1CP2Q1Q1K1J3&6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 (2)轉(zhuǎn)換成次態(tài)方程:)轉(zhuǎn)換成次態(tài)方程: 先寫出先寫出JK觸發(fā)器的特性方程觸發(fā)器的特性方程nnQQJ031 10 J10 KnQK01 nnQQJ012 nnQQK012 nnnQQQJ0123 n03QK nnnQKQJQ 1nnnnQQKQJQ0000010 nnnnnnnnQQQQQQKQJQ10103111111 nnnnnnnnnQQQ

30、QQQQKQJQ201201222212 nnnnnnnnnQQQQQQQKQJQ303012333313 然后將各驅(qū)動(dòng)方程代入然后將各驅(qū)動(dòng)方程代入JK觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 設(shè)初態(tài)為設(shè)初態(tài)為Q3Q2Q1Q0=0000,代入次態(tài)方程進(jìn)行計(jì)算,得狀態(tài)轉(zhuǎn)換表。,代入次態(tài)方程進(jìn)行計(jì)算,得狀態(tài)轉(zhuǎn)換表?,F(xiàn)現(xiàn) 態(tài)態(tài)次次 態(tài)態(tài)Q3 n Q2 n Q1 n Q0 n Q3 n+1 Q2 n+1 Q1 n+1 Q0 n+1 nnQQ010 nnnnnnQQQQQQ1010311 nnnnnnnQQQQQQQ20120112 nn

31、nnnnnQQQQQQQ30301213 0 0 0 010000 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 1010011000010000010100110111000011001(3)作狀態(tài)轉(zhuǎn)換表。)作狀態(tài)轉(zhuǎn)換表。6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 CP12345678910Q0Q1Q2Q3(4)作狀態(tài)圖)作狀態(tài)圖 和時(shí)序圖。和時(shí)序圖。231 0QQQ Q00001000010000110001001010010101011001116.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 由于電路中有由于電路中有4個(gè)觸發(fā)器,它們的狀態(tài)組合共有

32、個(gè)觸發(fā)器,它們的狀態(tài)組合共有16種。而在種。而在8421BCD碼碼計(jì)數(shù)器中只用了計(jì)數(shù)器中只用了10種,稱為有效狀態(tài)。其余種,稱為有效狀態(tài)。其余6種狀態(tài)稱為無(wú)效狀態(tài)。種狀態(tài)稱為無(wú)效狀態(tài)。 當(dāng)由于某種原因,使計(jì)數(shù)器進(jìn)入無(wú)效狀態(tài)時(shí),如果能在時(shí)鐘信號(hào)作用當(dāng)由于某種原因,使計(jì)數(shù)器進(jìn)入無(wú)效狀態(tài)時(shí),如果能在時(shí)鐘信號(hào)作用下,最終進(jìn)入有效狀態(tài),我們就稱該電路具有下,最終進(jìn)入有效狀態(tài),我們就稱該電路具有自啟動(dòng)能力自啟動(dòng)能力。1001000010001Q3010100100100QQ有效循環(huán)0011Q01100111100002111111101101110010101011可見,該計(jì)數(shù)器能夠自啟動(dòng)??梢?,該計(jì)數(shù)器

33、能夠自啟動(dòng)。nnQQ010 nnnnnnQQQQQQ1010311 nnnnnnnQQQQQQQ20120112 nnnnnnnQQQQQQQ30301213 (5)檢查電路能否自啟動(dòng))檢查電路能否自啟動(dòng)用同樣的分析方法分別求出用同樣的分析方法分別求出6種無(wú)效狀態(tài)下的次態(tài),得到完整的狀態(tài)轉(zhuǎn)換圖。種無(wú)效狀態(tài)下的次態(tài),得到完整的狀態(tài)轉(zhuǎn)換圖。6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 1. 4位二進(jìn)制同步加法計(jì)數(shù)器位二進(jìn)制同步加法計(jì)數(shù)器741616.3.3 集成計(jì)數(shù)器舉例集成計(jì)數(shù)器舉例RC1&Q1J1K&113Q&Q&RC11J1K&112Q&Q&RC11J

34、1K&111Q&Q&RC11J1K&110Q0D1&1EPET11D2D3DCPLDRDRCO6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 74161具有以下功能:具有以下功能: 計(jì)數(shù)。計(jì)數(shù)。 同步并行預(yù)置數(shù)。同步并行預(yù)置數(shù)。RCO為進(jìn)位輸出端。為進(jìn)位輸出端。 保持。保持。01111RD清零清零0111LD預(yù)置預(yù)置 0 01 1EP ET使能使能CP時(shí)鐘時(shí)鐘 d3 d2 d1 d0 D3 D2 D1 D0預(yù)置數(shù)據(jù)輸入預(yù)置數(shù)據(jù)輸入0 0 0 0d3 d2 d1 d0保保 持持保保 持持計(jì)計(jì) 數(shù)數(shù)Q3 Q2 Q1 Q0輸出輸出工作模式工作模式異步清零異步清零同步置數(shù)同步置數(shù)

35、數(shù)據(jù)保持?jǐn)?shù)據(jù)保持?jǐn)?shù)據(jù)保持?jǐn)?shù)據(jù)保持加法計(jì)數(shù)加法計(jì)數(shù)74161的功能表的功能表 異步清零。異步清零。41235671516CPD0D1D2GNDQ3Q2Q1Vcc74161891011121413RD3DDLEPETQ0RCO6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 QCPQ0Q21Q3LDRDDD0D21D3EPETRCO121314150120清零清零異步異步同步同步置數(shù)置數(shù)加法計(jì)數(shù)加法計(jì)數(shù)保持保持74161的時(shí)的時(shí)序圖序圖RCO=ETQ3Q2Q1Q001111RD清零清零0111LD預(yù)置預(yù)置 0 01 1EP ET使能使能CP時(shí)鐘時(shí)鐘 d3 d2 d1 d0 D3 D2 D

36、1 D0預(yù)置數(shù)據(jù)輸入預(yù)置數(shù)據(jù)輸入0 0 0 0d3 d2 d1 d0保保 持持保保 持持十進(jìn)制計(jì)十進(jìn)制計(jì) 數(shù)數(shù)Q3 Q2 Q1 Q0輸出輸出工作模式工作模式異步清零異步清零同步置數(shù)同步置數(shù)數(shù)據(jù)保持?jǐn)?shù)據(jù)保持?jǐn)?shù)據(jù)保持?jǐn)?shù)據(jù)保持加法計(jì)數(shù)加法計(jì)數(shù)7416074160的功能表的功能表2. 8421BCD碼同步加法計(jì)數(shù)器碼同步加法計(jì)數(shù)器741603Q2QETCP0D1D2D3DRCO1Q0Q7416041235671516CPD0D1D2GNDQ3Q2Q1Vcc74160891011121413RD3DDLEPETQ0RCOEPRDDL6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 0111LD預(yù)置預(yù)置100EN使能使能01

37、D/ U加加/減控制減控制CP時(shí)鐘時(shí)鐘d3 d2 d1 d0 D3 D2 D1 D0預(yù)置數(shù)據(jù)輸入預(yù)置數(shù)據(jù)輸入d3 d2 d1 d0保保 持持計(jì)計(jì) 數(shù)數(shù)計(jì)計(jì) 數(shù)數(shù)Q3 Q2 Q1 Q0輸輸 出出工作模式工作模式異步置數(shù)異步置數(shù)數(shù)據(jù)保持?jǐn)?shù)據(jù)保持加法計(jì)數(shù)加法計(jì)數(shù)減法計(jì)數(shù)減法計(jì)數(shù)74191的功能表的功能表3. 4位二進(jìn)制同步可逆計(jì)數(shù)器位二進(jìn)制同步可逆計(jì)數(shù)器74191LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q7419141235671516Vcc741918910111214133D0Q1GNDD1END/UQ3Q2QD2LDMAX/MINRCOCP0D6.3 6.3 計(jì)數(shù)器

38、計(jì)數(shù)器 4. 二二五五十進(jìn)制異步加法計(jì)數(shù)器十進(jìn)制異步加法計(jì)數(shù)器74290二進(jìn)制計(jì)數(shù)器的時(shí)鐘輸入端為二進(jìn)制計(jì)數(shù)器的時(shí)鐘輸入端為CP1,輸出端為,輸出端為Q0;五進(jìn)制計(jì)數(shù)器的時(shí)鐘輸入端為五進(jìn)制計(jì)數(shù)器的時(shí)鐘輸入端為CP2,輸出端為,輸出端為Q1、Q2、Q3。74290包含一個(gè)獨(dú)立的包含一個(gè)獨(dú)立的1位二進(jìn)制計(jì)數(shù)器和一個(gè)獨(dú)立的五進(jìn)制計(jì)數(shù)器。位二進(jìn)制計(jì)數(shù)器和一個(gè)獨(dú)立的五進(jìn)制計(jì)數(shù)器。 如果將如果將Q0與與CP2相連,相連,CP1作時(shí)鐘輸入端,作時(shí)鐘輸入端,Q0Q3作輸出端,則為作輸出端,則為8421BCD碼十進(jìn)制計(jì)數(shù)器。碼十進(jìn)制計(jì)數(shù)器。RQC1C1RQR1K1J1J1J1K1KC1Q&S&3

39、Q1QQ2&C1CP29(2)CPRRQ0(2)RS01J0(1)1K&R9(1)R1Q6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 74290的功能:的功能: 異步清零。異步清零。 計(jì)數(shù)。計(jì)數(shù)。 異步置數(shù)(置異步置數(shù)(置9)。)。 復(fù)位輸入復(fù)位輸入置位輸入置位輸入時(shí)時(shí) 鐘鐘輸輸 出出工作模式工作模式R0(1) R0(2)R9(1) R9(2)CPQ3 Q2 Q1 Q01 11 10 00 0 0 00 0 0 0異步清零異步清零0 01 11 11 0 0 11 0 0 1異步置數(shù)異步置數(shù)0 0 0 00 00 0計(jì)計(jì) 數(shù)數(shù)計(jì)計(jì) 數(shù)數(shù)計(jì)計(jì) 數(shù)數(shù)計(jì)計(jì) 數(shù)數(shù)加法計(jì)數(shù)加法計(jì)數(shù)41235678910

40、11121314GNDVcc74LS2909(1)NC9(2)NC0(1)0(2)21Q3Q0Q1Q2CPCPRRRR6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 6.3.4 集成計(jì)數(shù)器的應(yīng)用集成計(jì)數(shù)器的應(yīng)用(任意進(jìn)制計(jì)數(shù)器構(gòu)成)(任意進(jìn)制計(jì)數(shù)器構(gòu)成)(1)同步級(jí)聯(lián)。)同步級(jí)聯(lián)。 例:用兩片例:用兩片4位二進(jìn)制加法計(jì)數(shù)器位二進(jìn)制加法計(jì)數(shù)器74161采用同步級(jí)聯(lián)方式構(gòu)成的采用同步級(jí)聯(lián)方式構(gòu)成的8位位二進(jìn)制同步加法計(jì)數(shù)器,模為二進(jìn)制同步加法計(jì)數(shù)器,模為1616=256。1計(jì)數(shù)器的級(jí)聯(lián)計(jì)數(shù)器的級(jí)聯(lián)3Q2QETCP0D1D2D3DRCO1Q0Q74161(1)EPRDDLD13DD3DCPQ Q00RCO74161(

41、2)L21ETQDQR2DEP111計(jì)數(shù)脈沖計(jì)數(shù)脈沖清零脈沖清零脈沖0132Q Q Q Q4576Q Q Q Q (2)異步級(jí)聯(lián))異步級(jí)聯(lián) 例:用兩片例:用兩片74191采用異步級(jí)聯(lián)方式構(gòu)成采用異步級(jí)聯(lián)方式構(gòu)成8位二進(jìn)制異步可位二進(jìn)制異步可逆計(jì)數(shù)器。逆計(jì)數(shù)器。LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q74191(2)LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q74191(1)計(jì)數(shù)脈沖計(jì)數(shù)脈沖D/UENL0132Q Q Q QQ6Q7Q4Q5D6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 LDRCO121D3QQD0CPDQ21

42、4150Q313EN120D加法計(jì)數(shù)加法計(jì)數(shù)D/U異步異步12置數(shù)置數(shù)MAX/MIN22保持保持減法計(jì)數(shù)減法計(jì)數(shù)101574191的時(shí)序圖的時(shí)序圖(3)用計(jì)數(shù)器的輸出端作進(jìn)位)用計(jì)數(shù)器的輸出端作進(jìn)位/借位端借位端例:用兩片例:用兩片74290采用異步級(jí)聯(lián)方式組成的二位采用異步級(jí)聯(lián)方式組成的二位8421BCD碼碼十進(jìn)制加法計(jì)數(shù)器。十進(jìn)制加法計(jì)數(shù)器。 模為模為1010=1003Q2Q1Q0Q74290(1)CP1CP2R0(2)R0(1)R9(1)9(2)RQ0Q12QQ374290(2)CP1CP20(2)RR0(1)9(1)RR9(2)計(jì)數(shù)脈沖計(jì)數(shù)脈沖置數(shù)脈沖置數(shù)脈沖清零脈沖清零脈沖個(gè)位輸出個(gè)

43、位輸出十位輸出十位輸出01Q2QQ3Q01Q2QQ3Q6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 231 0QQQ Q00001000010000110001001010010101011001112組成任意進(jìn)制計(jì)數(shù)器組成任意進(jìn)制計(jì)數(shù)器例:用集成計(jì)數(shù)器例:用集成計(jì)數(shù)器74160和與非門組成的和與非門組成的6進(jìn)制計(jì)數(shù)器。進(jìn)制計(jì)數(shù)器。(1)異步清零法)異步清零法適用于具有異步清零端的集成計(jì)數(shù)器。適用于具有異步清零端的集成計(jì)數(shù)器。&74160Q2EPD2CPETQ計(jì)數(shù)脈沖計(jì)數(shù)脈沖DR1RCO0QQQ11DQLD23110D3D0QQ3741606.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 (2)同步清零法)同步清零法同步

44、清零法同步清零法適用于具有同步清零端的集成計(jì)數(shù)器。適用于具有同步清零端的集成計(jì)數(shù)器。例:用集成計(jì)數(shù)器例:用集成計(jì)數(shù)器74163和與非門組成的和與非門組成的6進(jìn)制計(jì)數(shù)器。進(jìn)制計(jì)數(shù)器。QDRETEP74163DRCO33QD211QL010QDCPDD1計(jì)數(shù)脈沖計(jì)數(shù)脈沖2&QQ3Q012Q3Q0010000000011Q0001Q1Q0100201016.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 (3)異步預(yù)置數(shù)法)異步預(yù)置數(shù)法異步預(yù)置數(shù)法適用于具有異步預(yù)置端的集成計(jì)數(shù)器。異步預(yù)置數(shù)法適用于具有異步預(yù)置端的集成計(jì)數(shù)器。例:用集成計(jì)數(shù)器例:用集成計(jì)數(shù)器74191和與非門組成的余和與非門組成的余3碼碼10進(jìn)制

45、計(jì)數(shù)器。進(jìn)制計(jì)數(shù)器。011001101001101002Q11011QQQ3010101111001011010001010LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q7419100計(jì)數(shù)脈沖計(jì)數(shù)脈沖&Q30QQ21Q11006.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 (4)同步預(yù)置數(shù)法)同步預(yù)置數(shù)法同步預(yù)置數(shù)法適用于具有同步預(yù)置端的集成計(jì)數(shù)器。同步預(yù)置數(shù)法適用于具有同步預(yù)置端的集成計(jì)數(shù)器。例:用集成計(jì)數(shù)器例:用集成計(jì)數(shù)器7416074160和與非門組成的和與非門組成的7 7進(jìn)制計(jì)數(shù)器。進(jìn)制計(jì)數(shù)器。QDRETEP74160DRCO33QD211QL010QDCPDD1計(jì)數(shù)脈沖

46、計(jì)數(shù)脈沖200111Q3Q201QQ3Q0101000110111Q0100Q1Q10002100101106.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 先將兩芯片采用同步級(jí)聯(lián)方式連接成先將兩芯片采用同步級(jí)聯(lián)方式連接成100100進(jìn)制計(jì)數(shù)器,進(jìn)制計(jì)數(shù)器, 然后再用異步清零法組成了然后再用異步清零法組成了4848進(jìn)制計(jì)數(shù)器。進(jìn)制計(jì)數(shù)器。解:解:因?yàn)橐驗(yàn)镹48,而,而74160為模為模10計(jì)數(shù)器,所以要用兩片計(jì)數(shù)器,所以要用兩片74160構(gòu)成構(gòu)成.。例例 用用74160組成組成48進(jìn)制計(jì)數(shù)器。進(jìn)制計(jì)數(shù)器。3Q2QETCP0D1D2D3DRCO1Q0Q74160(1)EPRDDLD13DD3DCPQ Q00RCO7

47、4160(2)L21ETQDQR2DEP1計(jì)數(shù)脈沖計(jì)數(shù)脈沖&116.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 3 3組成序列信號(hào)發(fā)生器組成序列信號(hào)發(fā)生器例:用例:用74161及門電路構(gòu)成序列信號(hào)發(fā)生器。及門電路構(gòu)成序列信號(hào)發(fā)生器。其中其中74161與與G1構(gòu)成了一個(gè)模構(gòu)成了一個(gè)模5計(jì)數(shù)器。計(jì)數(shù)器。 ,因此,這是一個(gè),因此,這是一個(gè)01010序列信號(hào)發(fā)生器,序列長(zhǎng)度序列信號(hào)發(fā)生器,序列長(zhǎng)度P=5。20QQZ 01010Z輸出輸出0 0 1 0 1 00 1 11 0 00 0 0 Q1 n+1 Q1 n+1 Q0 n+1 次次 態(tài)態(tài)0 0 0 0 0 10 1 00 1 11 0 0 Q2 n Q1 n

48、 Q0 n 現(xiàn)現(xiàn) 態(tài)態(tài)狀狀 態(tài)態(tài) 表表序列信號(hào)序列信號(hào)在時(shí)鐘脈沖作用下產(chǎn)生的一串周期性的二進(jìn)制信號(hào)在時(shí)鐘脈沖作用下產(chǎn)生的一串周期性的二進(jìn)制信號(hào)。 3Q2QETCP0D1D2D3DRCO1Q0Q74161EPRDDL11&ZCP&1G1G2G3Q0Q12QQ36.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 例例6.3.3 試用計(jì)數(shù)器試用計(jì)數(shù)器74161和數(shù)據(jù)選擇器設(shè)計(jì)一個(gè)和數(shù)據(jù)選擇器設(shè)計(jì)一個(gè)01100011序序列發(fā)生器。列發(fā)生器。解:解:由于序列長(zhǎng)度由于序列長(zhǎng)度P=8,故將,故將74161構(gòu)成模構(gòu)成模8計(jì)數(shù)器,并選用計(jì)數(shù)器,并選用數(shù)據(jù)選擇器數(shù)據(jù)選擇器74151產(chǎn)生所需序列。產(chǎn)生所需序列。112DD

49、0RETDEPDLCPQQ31741613QD1RCO2QD0CP1DZ2D74151D6DDDG74Y3D150DY0A1A2A111 10 0006.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 5組成脈沖組成脈沖 分配器分配器CPQ0Q1Q20Y1Y2Y3Y4Y5Y6Y7YY0YYG2A3GA15YY41Y2BDGYA7416121DCPDQD620D1YY6AQ727501YYY00QRRCO1EPET12YD03LY1Y3Q314Y20741381CP6.3 6.3 計(jì)數(shù)器計(jì)數(shù)器 6.4 6.4 寄存器寄存器集成數(shù)碼寄存器集成數(shù)碼寄存器74LSl75 :6.4.1 6.4.1 并行數(shù)據(jù)寄存器(狀態(tài)寄存器

50、)并行數(shù)據(jù)寄存器(狀態(tài)寄存器)寄存器寄存器寄存二進(jìn)制信息寄存二進(jìn)制信息D1RQQQ13321QQQ3FF2FF1FF0RRQQQ0C1C1QC1R211D1D1DQ0Q01DDC123FF1DDDRCP7474LS175175的功能的功能: :D0D3是并行數(shù)據(jù)輸入端。是并行數(shù)據(jù)輸入端。CP為時(shí)鐘脈沖端。為時(shí)鐘脈沖端。 Q0Q3是并行數(shù)據(jù)輸出端。是并行數(shù)據(jù)輸出端。0111RD清零清零10CP時(shí)鐘時(shí)鐘 d0 d1 d2 d3 D0 D1 D2 D3輸輸 入入0 0 0 0d0 d1 d2 d3保保 持持保保 持持Q0 Q1 Q2 Q3輸輸 出出工作模式工作模式異步清零異步清零數(shù)碼寄存數(shù)碼寄存數(shù)據(jù)

51、保持?jǐn)?shù)據(jù)保持?jǐn)?shù)據(jù)保持?jǐn)?shù)據(jù)保持7474LS175175的功能表的功能表RD是異步清零控制端。是異步清零控制端。QD03VccQ15374LS175D2D6Q3CP2GND74QQD3205116211411Q110129QRQD101386.4 6.4 寄存器寄存器 移位寄存器移位寄存器不但可以寄存信息,而且在移位脈沖作用下,寄存器中的不但可以寄存信息,而且在移位脈沖作用下,寄存器中的二進(jìn)制信息可根據(jù)需要向左或向右移動(dòng)二進(jìn)制信息可根據(jù)需要向左或向右移動(dòng)1 1位。位。6.4.2 6.4.2 移位寄存器移位寄存器1 1單向移位寄存器單向移位寄存器 (1 1)上移寄存器(右移寄存器)上移寄存器(右移寄

52、存器) 結(jié)構(gòu)特點(diǎn):左邊觸發(fā)器的輸出端接右鄰觸發(fā)器的輸入端。結(jié)構(gòu)特點(diǎn):左邊觸發(fā)器的輸出端接右鄰觸發(fā)器的輸入端。QRC11D1DC1RQ1DC1RQ1DQRC1Q0Q1Q2Q3CPCRID串行輸入串行輸入串行輸出串行輸出D0D1D20FF1FF2FF3FF并并 行行 輸輸 出出D36.4 6.4 寄存器寄存器 移位脈沖移位脈沖輸入數(shù)碼輸入數(shù)碼輸輸 出出CPDIQ0 Q1 Q2 Q300 0 0 0111 0 0 01 1 0 012030 1 1 0141 0 1 1設(shè)移位寄存器的初始狀態(tài)為設(shè)移位寄存器的初始狀態(tài)為0000,串行輸入數(shù)碼,串行輸入數(shù)碼DI=1101,從高位到低,從高位到低位依次輸入

53、。其位依次輸入。其狀態(tài)表如下:狀態(tài)表如下:QRC11D1DC1RQ1DC1RQ1DQRC1Q0Q1Q2Q3CPCRID串行輸入串行輸入串行輸出串行輸出D0D1D20FF1FF2FF3FF并并 行行 輸輸 出出D36.4 6.4 寄存器寄存器 上移寄存器的時(shí)序圖:上移寄存器的時(shí)序圖: 在在4個(gè)個(gè)CP作用下,輸入的作用下,輸入的4位串行數(shù)碼位串行數(shù)碼1101全部存入全部存入了寄存器中。了寄存器中。這種方式稱為這種方式稱為串行輸入方式串行輸入方式。619273584CP1D1I01203Q1QQQ移位脈沖移位脈沖輸入數(shù)碼輸入數(shù)碼輸輸 出出CPDIQ0 Q1 Q2 Q30123411010 0 0 0

54、1 0 0 01 1 0 00 1 1 01 0 1 16.4 6.4 寄存器寄存器 (2)下移寄存器(左移寄存器)下移寄存器(左移寄存器)結(jié)構(gòu)特點(diǎn):結(jié)構(gòu)特點(diǎn):右邊觸發(fā)器的輸出端接左鄰觸發(fā)器的輸入端。右邊觸發(fā)器的輸出端接左鄰觸發(fā)器的輸入端。2 2 雙向移位寄存器雙向移位寄存器 將上移寄存器和下移寄存器組合起來(lái),并引入一控制端將上移寄存器和下移寄存器組合起來(lái),并引入一控制端S S便構(gòu)成既可便構(gòu)成既可上移又可下移的雙向移位寄存器。上移又可下移的雙向移位寄存器。1DC1RQ1DQRC1Q1D1DC1C1RQRCPCRD01DFF0FF1FF23FF20并并 行行 輸輸 出出3QQ1QQID串行輸入串

55、行輸入串行輸出串行輸出2DD36.4 6.4 寄存器寄存器 其中,其中,DSR為右移串行輸入端,為右移串行輸入端,DSL為左移串行輸入端。為左移串行輸入端。當(dāng)當(dāng)S=0時(shí),時(shí),D0=Q1、D1=Q2、D2=Q3、D3=DSL,實(shí)現(xiàn)左移操作。,實(shí)現(xiàn)左移操作。 D D觸發(fā)器組成的雙向移位寄存器:觸發(fā)器組成的雙向移位寄存器:當(dāng)當(dāng)S=1時(shí),時(shí),D0=DSR、D1=Q0、D2=Q1、D3=Q2,實(shí)現(xiàn)右移操作;,實(shí)現(xiàn)右移操作;RFF1DC13Q&11R1DC12FFQ&11R1DC11FFQ&11FF&C1R01DQ111 11 11 1QQQQ1302CPCR串行輸入串行輸

56、入SLD(左移)(左移)串行輸入串行輸入DSR(右移)(右移)串行輸出串行輸出DOR(右移)(右移)串行輸出串行輸出DOL(左移)(左移)移位控制移位控制SS=1S=1:右移:右移S=0S=0:左移:左移并并 行行 輸輸 出出6.4 6.4 寄存器寄存器 6.4.3 6.4.3 集成移位寄存器集成移位寄存器7419474194 Q0和和Q3分別是左移和右移時(shí)的串行輸出端,分別是左移和右移時(shí)的串行輸出端,Q0、Q1、Q2和和Q3為為并行輸出端。并行輸出端。 DSL 和和DSR分別是左移和右移串行輸入。分別是左移和右移串行輸入。D0、D1、D2和和D3是并行輸是并行輸入端。入端。74194為四位雙

57、向移位寄存器為四位雙向移位寄存器。0Q1QS3D2D1D0D2Q3Q7419441235671516D0D1D2GNDQ3Q2Q1Vcc74194891011121413RD3D0SQ0SRDCPSLSR01SRSLS1CPDDDD6.4 6.4 寄存器寄存器 74194的功能表的功能表輸輸 入入輸輸 出出工作模式工作模式清零清零控控 制制串行輸入串行輸入時(shí)鐘時(shí)鐘并行輸入并行輸入RDS1 S0DSL DSRCPD0 D1 D2 D3Q0 Q1 Q2 Q30 0 0 0 0異步清零異步清零10 0 Q0n Q1n Q2n Q3n保保 持持110 10 1 1 0 1 Q0n Q1n Q2n0 Q

58、0n Q1n Q2n右右 移移111 01 01 0 Q1n Q2n Q3n 1Q1n Q2n Q3n 0左左 移移11 1 D0 D1 D2 D3D0 D1 D2 D3并行置數(shù)并行置數(shù)6.4 6.4 寄存器寄存器 6.4.4 6.4.4 移位寄存器構(gòu)成的移存型計(jì)數(shù)器移位寄存器構(gòu)成的移存型計(jì)數(shù)器 環(huán)形計(jì)數(shù)器的特點(diǎn):環(huán)形計(jì)數(shù)器的特點(diǎn): 電路簡(jiǎn)單,電路簡(jiǎn)單,N位移位寄存器可以計(jì)位移位寄存器可以計(jì)N個(gè)數(shù),實(shí)現(xiàn)模個(gè)數(shù),實(shí)現(xiàn)模N計(jì)數(shù)器。狀態(tài)為計(jì)數(shù)器。狀態(tài)為1的輸出端的序號(hào)等于計(jì)數(shù)脈沖的個(gè)數(shù),通常不需要譯碼電路。的輸出端的序號(hào)等于計(jì)數(shù)脈沖的個(gè)數(shù),通常不需要譯碼電路。1. 1. 環(huán)形計(jì)數(shù)器環(huán)形計(jì)數(shù)器0Q1Q

59、S3D2D1D0D2Q3Q74194SRDCPDSLSRD01111000START0Q31000Q0100Q2Q0010100016.4 6.4 寄存器寄存器 2扭環(huán)形計(jì)數(shù)器扭環(huán)形計(jì)數(shù)器 一般來(lái)說(shuō),一般來(lái)說(shuō),N位移位寄存器可以組成模位移位寄存器可以組成模2N的扭環(huán)形計(jì)數(shù)器,只需將末級(jí)的扭環(huán)形計(jì)數(shù)器,只需將末級(jí)輸出反相后,接到串行輸入端。輸出反相后,接到串行輸入端。為了增加有效計(jì)數(shù)狀態(tài),擴(kuò)大計(jì)數(shù)器的模,可用扭環(huán)形計(jì)數(shù)器。為了增加有效計(jì)數(shù)狀態(tài),擴(kuò)大計(jì)數(shù)器的模,可用扭環(huán)形計(jì)數(shù)器。QD1SR013SQQSSLD74194DRDDCPQ02D1D32010清零清零1Q100000012QQ000003

60、00111Q11000111111011116.4 6.4 寄存器寄存器 6.6 6.6 時(shí)序邏輯電路的一般設(shè)計(jì)方法時(shí)序邏輯電路的一般設(shè)計(jì)方法1同步時(shí)序邏輯電路的設(shè)計(jì)步驟同步時(shí)序邏輯電路的設(shè)計(jì)步驟(3)狀態(tài)分配,又稱狀態(tài)編碼。)狀態(tài)分配,又稱狀態(tài)編碼。(1)根據(jù)設(shè)計(jì)要求,設(shè)定狀態(tài),導(dǎo)出對(duì)應(yīng)狀態(tài)圖或狀態(tài)表。)根據(jù)設(shè)計(jì)要求,設(shè)定狀態(tài),導(dǎo)出對(duì)應(yīng)狀態(tài)圖或狀態(tài)表。(2)狀態(tài)化簡(jiǎn)。消去多余的狀態(tài),得簡(jiǎn)化狀態(tài)圖(表)。)狀態(tài)化簡(jiǎn)。消去多余的狀態(tài),得簡(jiǎn)化狀態(tài)圖(表)。(4)選擇觸發(fā)器的類型。)選擇觸發(fā)器的類型。(5)根據(jù)編碼狀態(tài)表以及所采用的觸發(fā)器的邏輯功能,)根據(jù)編碼狀態(tài)表以及所采用的觸發(fā)器的邏輯功能,導(dǎo)出待設(shè)計(jì)電路的輸出方程和驅(qū)動(dòng)方程。導(dǎo)出待設(shè)計(jì)電路的輸出方程和驅(qū)動(dòng)方程。(6)根據(jù)輸出方程和驅(qū)動(dòng)方程畫出邏輯圖。)根據(jù)輸出方程和驅(qū)動(dòng)方程畫出邏輯圖。(7)檢查電路能否自啟動(dòng)。)檢查電路能否自啟動(dòng)。6.6.1 同步時(shí)序邏輯電路的設(shè)計(jì)方法同步時(shí)序邏輯電路的設(shè)計(jì)方法6.

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論