單片機(jī)電子時(shí)鐘設(shè)計(jì)_第1頁(yè)
單片機(jī)電子時(shí)鐘設(shè)計(jì)_第2頁(yè)
單片機(jī)電子時(shí)鐘設(shè)計(jì)_第3頁(yè)
單片機(jī)電子時(shí)鐘設(shè)計(jì)_第4頁(yè)
單片機(jī)電子時(shí)鐘設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、緒 論單片機(jī)在多功能數(shù)字電子鐘中的應(yīng)用已是非常普遍,人們對(duì)電子時(shí)鐘的功能及工作順序都已非常熟悉了,但是卻很少知道它的內(nèi)部結(jié)構(gòu)以及工作原理。由單片機(jī)作為電子時(shí)鐘的核心控制器,可以通過它的時(shí)鐘信號(hào)來實(shí)現(xiàn)計(jì)時(shí)功能,將時(shí)間數(shù)據(jù)由單片機(jī)輸出,利用顯示器將時(shí)間顯示出來。通過鍵盤可以進(jìn)行時(shí)間的設(shè)定。輸出設(shè)備顯示器可以用液晶顯示技術(shù)或數(shù)碼管來顯示技術(shù)。本系統(tǒng)利用單片機(jī)實(shí)現(xiàn)具有計(jì)時(shí)、校時(shí)等功能的數(shù)字電子時(shí)鐘,是以單片機(jī)AT89C51為核心元件同時(shí)采用LED數(shù)碼管顯示器動(dòng)態(tài)顯示“時(shí)”,“分”,“秒”的現(xiàn)代計(jì)時(shí)裝置。與傳統(tǒng)機(jī)械表相比,它具有走時(shí)精確,顯示直觀等特點(diǎn)。另外具有校時(shí)功能,利用單片機(jī)實(shí)現(xiàn)的數(shù)字時(shí)鐘具有編程

2、靈活,便于功能的擴(kuò)充等優(yōu)點(diǎn)。23第一章 概述1.1課題研究的目的和意義數(shù)字鐘已成為人們?nèi)粘I钪斜夭豢缮俚谋匦杵罚瑥V泛用于個(gè)人家庭以及辦公室等公共場(chǎng)所,給人們的生活、學(xué)習(xí)、工作、娛樂帶來極大的方便。由于電子集成電路技術(shù)的發(fā)展和采用了先進(jìn)的石英技術(shù),使電子鐘具有走時(shí)準(zhǔn)確、性能穩(wěn)定、攜帶方便等優(yōu)點(diǎn),它還用于計(jì)時(shí)、自動(dòng)報(bào)時(shí)及自動(dòng)控制等各個(gè)領(lǐng)域,因此進(jìn)行電子鐘的設(shè)計(jì)是必要的。盡管目前市場(chǎng)上已有現(xiàn)成的電子鐘集成電路芯片出售,價(jià)格便宜、使用也方便,但鑒于單片機(jī)定時(shí)器的功能也可以完成電子鐘電路的設(shè)計(jì),因此進(jìn)行電子鐘的設(shè)計(jì)是可行的。在這里我們將已學(xué)過的比較零散的數(shù)字電路和單片機(jī)的知識(shí)有機(jī)的、系統(tǒng)的聯(lián)系、組織起

3、來應(yīng)用于實(shí)際,來培養(yǎng)我們的綜合分析和設(shè)計(jì)電路,寫程序,調(diào)試電路、程序的能力。單片機(jī)具有體積小、功能強(qiáng)、可靠性高、價(jià)格低廉等一系列優(yōu)點(diǎn),不僅已成為工業(yè)測(cè)控領(lǐng)域普遍采用的智能化控制工具,而且已滲入到人們工作和和生活的各個(gè)角落,有力地推動(dòng)了各行業(yè)的技術(shù)改造和產(chǎn)品的更新?lián)Q代,應(yīng)用前景廣闊。1.2國(guó)內(nèi)外研究的現(xiàn)狀及發(fā)展趨勢(shì)從單片機(jī)電子時(shí)鐘近年的發(fā)展趨勢(shì)來看,正朝著多層次用戶、多品種、多規(guī)格、高精度、小體積、低能耗等方面發(fā)展。在這種趨勢(shì)下,時(shí)鐘的數(shù)字化,智能化已經(jīng)成為現(xiàn)代時(shí)鐘生產(chǎn)研究的主導(dǎo)設(shè)計(jì)方向。帶有時(shí)鐘功能的電子產(chǎn)品和電子設(shè)備進(jìn)年來廣泛地出現(xiàn)在國(guó)內(nèi)外市場(chǎng)中,例如奧運(yùn)會(huì)倒計(jì)時(shí)顯示屏、鐵路安全顯示屏、生產(chǎn)

4、線看板、體育比賽記時(shí)屏、大型室外高亮度時(shí)鐘等,這類產(chǎn)品覆蓋銀行、醫(yī)院、地鐵車站、體育運(yùn)動(dòng)、電視臺(tái)、監(jiān)控系統(tǒng)、高大建筑物等行業(yè)。作為一種人機(jī)接口方式,語音比顯示屏,鼠標(biāo)鍵盤等設(shè)備更易于使用。而在設(shè)計(jì)里加上語音提示、音樂或者其他語音功能,還使得設(shè)計(jì)顯得既人性化又有趣,不但能提高開發(fā)者的興趣和積極性,同時(shí)也能讓設(shè)計(jì)作品與眾不同,從而得到了各界領(lǐng)域的廣泛應(yīng)用所以對(duì)語音的研究有很大的實(shí)際意義。1.3課題設(shè)計(jì)目的與要求1.3.1設(shè)計(jì)目的:按要求完成單片機(jī)實(shí)現(xiàn)電子時(shí)鐘系統(tǒng)。通過課題的設(shè)計(jì)與實(shí)現(xiàn)培養(yǎng)系統(tǒng)分析、設(shè)計(jì)的能力,從而達(dá)到以下能力訓(xùn)練:(1)、調(diào)查研究、分析問題的能力(2)、使用設(shè)計(jì)手冊(cè)、技術(shù)規(guī)范的能力

5、(3)、查閱中外文獻(xiàn)的能力(4)、制定設(shè)計(jì)方案的能力(5)、計(jì)算機(jī)應(yīng)用的能力(6)、設(shè)計(jì)、計(jì)算和繪圖的能力(7)、技術(shù)經(jīng)濟(jì)指示的分析能力(8)、語言文字表達(dá)的能力1.3.2設(shè)計(jì)要求: 利用DJ-598K試驗(yàn)儀的硬件資源,設(shè)計(jì)一個(gè)電子鐘,利用四個(gè)數(shù)碼管,在其上顯示分、秒;用4個(gè)小鍵盤分別進(jìn)行分1、分1、秒1、秒1改變時(shí)間值?;疽螅?1)、設(shè)計(jì)實(shí)驗(yàn)電路(要求利用試驗(yàn)儀的硬件資源)(2)、分析實(shí)驗(yàn)原理(3)、列出實(shí)驗(yàn)接線表(4)、采用匯編語言寫實(shí)驗(yàn)程序(5)、通過實(shí)驗(yàn)驗(yàn)證功能的實(shí)現(xiàn)(6)、編寫課程設(shè)計(jì)說明書第二章 總體方案設(shè)計(jì)2.1課題總體功能分析與設(shè)計(jì)2.1.1系統(tǒng)需求分析本課題要求設(shè)計(jì)一個(gè)電

6、子鐘,利用四個(gè)數(shù)碼管進(jìn)行時(shí)間顯示,在其上顯示分、秒;用4個(gè)小鍵盤分別進(jìn)行分1、分1、秒1、秒1改變時(shí)間值。但考慮到電子鐘的實(shí)用性,這里將對(duì)其進(jìn)行擴(kuò)充,是利用六個(gè)數(shù)碼管進(jìn)行時(shí)間顯示,在其上顯示時(shí)、分、秒;用6個(gè)小鍵盤分別進(jìn)行時(shí)1、時(shí)1、分1、分1、秒1、秒1來改變時(shí)間值。2.1.2系統(tǒng)功能分析與設(shè)計(jì)有分析可得系統(tǒng)功能圖2-1所示:時(shí)間調(diào)整輸入單片機(jī)系統(tǒng)時(shí)間輸出顯示顯示器圖2-1系統(tǒng)功能分析設(shè)計(jì)圖2.1.3系統(tǒng)設(shè)定詳細(xì)說明本課題設(shè)計(jì)需要六個(gè)數(shù)碼管進(jìn)行時(shí)間顯示,剛打開電源時(shí),系統(tǒng)初始狀態(tài)顯示的時(shí)間為12:00:00,然后電路會(huì)自動(dòng)開始計(jì)時(shí)。當(dāng)秒到60時(shí),則分上加1同時(shí)秒位清零顯示00,然后再?gòu)?0自

7、動(dòng)開始計(jì)時(shí);當(dāng)分到60時(shí),則小時(shí)上加1同時(shí)分位清零顯示00,然后再?gòu)?0開始;當(dāng)小時(shí)顯示變?yōu)?3:59:59時(shí),接下來的顯示則變?yōu)?0:00:00,電路自動(dòng)開始計(jì)時(shí),然后循環(huán)上述過程進(jìn)行計(jì)時(shí)。電路中有對(duì)時(shí)、分、秒進(jìn)行加/減1的各自單獨(dú)的時(shí)間調(diào)整按鈕,時(shí)間調(diào)整按鈕每按一次,則相應(yīng)調(diào)整的顯示時(shí)間值加/減1。按S1鍵,則秒1;按S2鍵,則秒1;按S3鍵,則分1;按S4鍵,則分1;按S5鍵,則小時(shí)1;按S6鍵,則小時(shí)1。2.2實(shí)現(xiàn)時(shí)鐘計(jì)時(shí)的基本方法利用MCS-51系列單片機(jī)的可編程定時(shí)/計(jì)數(shù)器、中斷系統(tǒng)來實(shí)現(xiàn)時(shí)鐘計(jì)數(shù)。(1) 計(jì)數(shù)初值計(jì)算:把定時(shí)器設(shè)為工作方式1,定時(shí)時(shí)間為50ms,則計(jì)數(shù)溢出20次即

8、得時(shí)鐘計(jì)時(shí)最小單位1s。定時(shí)器方式1為16位定時(shí)/計(jì)數(shù)器工作方式,用于定時(shí)工作方式時(shí),計(jì)數(shù)時(shí)間為:T=(216-T0初值)×振蕩周期×12假設(shè)使用T/C0,方式1,50ms定時(shí),晶振頻率fosc=12MHz。則初值X滿足:(216-X)×1/12MHz×12×1s = 50000sX=15536D0011110010110000B3CB0H(2) 采用中斷方式進(jìn)行溢出次數(shù)累計(jì),計(jì)滿20次則為秒計(jì)時(shí)(1秒);(3) 從秒到分和從分到時(shí)的計(jì)時(shí)是通過累加和數(shù)值比較實(shí)現(xiàn)。2.3電子鐘的時(shí)間顯示電子鐘的時(shí)鐘時(shí)間要在六位數(shù)碼管上進(jìn)行顯示,則要在內(nèi)部RAM中

9、設(shè)置緩存地址單元。顯示寄存器單元位:32H31H30H時(shí)顯示寄存器單元位分顯示寄存器單元位秒顯示寄存器單元位2.4電子鐘的時(shí)間調(diào)整時(shí)間調(diào)整:用6個(gè)按鍵S1、S2、S3、S4、S5、S6,通過P1.0 P1.5口將調(diào)整信號(hào)輸入單片機(jī),再通過程序控制來完成時(shí)間調(diào)整的功能。2.5總體方案介紹2.5.1計(jì)時(shí)方案利用AT89C51單片機(jī)內(nèi)部的定時(shí)/計(jì)數(shù)器進(jìn)行中斷時(shí),配合軟件延時(shí)實(shí)現(xiàn)時(shí)、分、秒的計(jì)時(shí)。該方案節(jié)省硬件成本,且能使讀者在定時(shí)/計(jì)數(shù)器的使用、中斷及程序設(shè)計(jì)方面得到鍛煉與提高,對(duì)單片機(jī)的指令系統(tǒng)能有更深入的了解,從而對(duì)學(xué)好單片機(jī)技術(shù)這門課程起到一定的提升作用。2.5.2控制方案AT89C51的P0

10、口外接6位LED顯示數(shù)碼管的段選信號(hào),P2口外接6位LED顯示數(shù)碼管的位選信號(hào),P1口外接6個(gè)調(diào)整時(shí)、分、秒加減的按鍵。2.5.3硬件介紹(1)AT89C51 是一種低功耗,高性能的CMOS 8位微型計(jì)算機(jī)。片內(nèi)有4KB FLASH(EPROM)可系統(tǒng)編程的只讀存儲(chǔ)器,該器件采用ATMEL公司的高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)上標(biāo)準(zhǔn)的8031、8051和8751的指令系統(tǒng)及引腳兼容,它集Flash程序存儲(chǔ)器既可在線編程(ISP)也可用傳統(tǒng)方法進(jìn)行編程及通用8 位微處理器于單片芯片中, 片內(nèi)Flash 集成在一個(gè)芯片上,可用與解決復(fù)雜的問題,且成本較低。簡(jiǎn)易電子鐘的功能不

11、復(fù)雜,可靈活應(yīng)用于各種領(lǐng)域。AT89C51 提供以下標(biāo)準(zhǔn)功能:4k 字節(jié)Flash 閃速存儲(chǔ)器,128字節(jié)內(nèi)部RAM,32 個(gè)IO 口線,看門狗(WDT),兩個(gè)數(shù)據(jù)指針,兩個(gè)16 位定時(shí)計(jì)數(shù)器,一個(gè)5 向量?jī)杉?jí)中斷結(jié)構(gòu),一個(gè)全雙工串行通信口,片內(nèi)振蕩器及時(shí)鐘電路。同時(shí),AT89C51 可降至0Hz的靜態(tài)邏輯操作,并支持兩種軟件可選的節(jié)電工作模式??臻e方式停止CPU 的工作,但允許RAM,定時(shí)計(jì)數(shù)器,串行通信口及中斷系統(tǒng)繼續(xù)工作。掉電方式保存RAM 中的內(nèi)容,但振蕩器停止工作并禁止其它所有部件工作

12、直到下一個(gè)硬件復(fù)位。(2)六位LED顯示數(shù)碼管由于考慮到充分利用DJ-598K試驗(yàn)儀的硬件資源,所以使用DJ-598K試驗(yàn)儀的六位數(shù)碼管作為顯示器,其是帶有驅(qū)動(dòng)器的六位數(shù)碼顯示管,如圖2-2。圖2-2顯示數(shù)碼管實(shí)形圖圖2-3顯示數(shù)碼管模塊電路圖數(shù)據(jù)插座:JS_LED位選掃描線;JLED_LED段選碼。第三章 系統(tǒng)硬件電路設(shè)計(jì)根據(jù)以上的電子時(shí)鐘的設(shè)計(jì)要求可以分為以下的幾個(gè)硬件電路模塊:?jiǎn)纹瑱C(jī)模塊、數(shù)碼顯示模塊與按鍵模塊,模塊之間的關(guān)系圖如下面得方框電路圖3-1所示。圖3-1 硬件電路方框圖3.1單片機(jī)模塊設(shè)計(jì)3.1.1芯片分析MCS-51系列單片機(jī)中的8031、8051及8751均采用40Pin

13、封裝的雙列直接DIP結(jié)構(gòu),AT89C51單片機(jī)引腳圖3-2所示:圖3-2 AT89C51MCS-51單片機(jī)是標(biāo)準(zhǔn)的40引腳雙列直插式集成電路芯片,其各引腳功能如下:VCC:+5V電源。VSS:接地。RST:復(fù)位信號(hào)。當(dāng)輸入的復(fù)位信號(hào)延續(xù)兩個(gè)機(jī)器周期以上的高電平時(shí)即為有效,用完成單片機(jī)的復(fù)位初始化操作。XTAL1和XTAL2:外接晶體引線端。當(dāng)使用芯片內(nèi)部時(shí)鐘時(shí),此二引線端用于外接石英晶體和微調(diào)電容;當(dāng)使用外部時(shí)鐘時(shí),用于接外部時(shí)鐘脈沖信號(hào)。P0口:P0口為一個(gè)8位漏極開路雙向I/O口,當(dāng)作輸出口使用時(shí),必須接上拉電阻才能有高電平輸出;當(dāng)作輸入口使用時(shí),必須先向電路中的鎖存器寫入“1”,使FET

14、截止,以避免鎖存器為“0”狀態(tài)時(shí)對(duì)引腳讀入的干擾。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,它不再需要多路轉(zhuǎn)接電路MUX;因此它作為輸出口使用時(shí),無需再外接上拉電阻,當(dāng)作為輸入口使用時(shí),同樣也需先向其鎖存器寫“1”,使輸出驅(qū)動(dòng)電路的FET截止。P2口:P2口電路比P1口電路多了一個(gè)多路轉(zhuǎn)接電路MUX,這又正好與P0口一樣。P2口可以作為通用的I/O口使用,這時(shí)多路轉(zhuǎn)接電路開關(guān)倒向鎖豐存器Q端。P3口:P3口特點(diǎn)在于,為適應(yīng)引腳信號(hào)第二功能的需要,增加了第二功能控制邏輯。當(dāng)作為I/O口使用時(shí),第二功能信號(hào)引線應(yīng)保持高電平,與非門開通,以維持從鎖存器到輸出端數(shù)據(jù)輸出通路的暢通。當(dāng)輸出

15、第二功能信號(hào)時(shí),該位應(yīng)應(yīng)置“1”,使與非門對(duì)第二功能信號(hào)的輸出是暢通的,從而實(shí)現(xiàn)第二功能信號(hào)的輸出,具體第二功能如表所示。3.1.2晶振電路圖3-2所示為時(shí)鐘電路原理圖,在AT89C51芯片內(nèi)部有一個(gè)高增益反相放大器,其輸入端為芯片引腳XTAL1,輸出端為引腳XTAL2。而在芯片內(nèi)部,XTAL1和XTAL2之間跨接晶體振蕩器和微調(diào)電容,從而構(gòu)成一個(gè)穩(wěn)定的自激振蕩器。時(shí)鐘電路產(chǎn)生的振蕩脈沖經(jīng)過觸發(fā)器進(jìn)行二分頻之后,才成為單片機(jī)的時(shí)鐘脈。 圖3-2 晶振電路3.1.3復(fù)位電路單片機(jī)復(fù)位的條件是:必須使RST/VPD 或RST引(9)加上持續(xù)兩個(gè)機(jī)器周期(即24個(gè)振蕩周期)的高電平。例如,若時(shí)鐘頻率

16、為12 MHz,每機(jī)器周期為1s,則只需2s以上時(shí)間的高電平,在RST引腳出現(xiàn)高電平后的第二個(gè)機(jī)器周期執(zhí)行復(fù)位。單片機(jī)常見的復(fù)位如圖所示。電路為上電復(fù)位電路,它是利用電容充電來實(shí)現(xiàn)的。在接電瞬間,RESET端的電位與VCC相同,隨著充電電流的減少,RESET的電位逐漸下降。只要保證RESET為高電平的時(shí)間大于兩個(gè)機(jī)器周期,便能正常復(fù)位。圖3-3 復(fù)位電路3.2數(shù)碼顯示模塊設(shè)計(jì) 系統(tǒng)采用動(dòng)態(tài)顯示方式,動(dòng)態(tài)顯示通常都是采用動(dòng)態(tài)掃描的方法進(jìn)行顯示,即循環(huán)點(diǎn)亮每一個(gè)數(shù)碼管,這樣雖然在任何時(shí)刻都只有一位數(shù)碼管被點(diǎn)亮,但由于人眼存在視覺殘留效應(yīng),只要每位數(shù)碼管間隔時(shí)間足夠短,就可以給人以同時(shí)顯示的感覺。圖

17、3-4 數(shù)碼顯示電路3.3按鍵模塊設(shè)計(jì)圖3-5為按鍵模塊電路原理圖,時(shí)鐘調(diào)整按鍵,分鐘調(diào)整按鍵,秒鐘調(diào)整按鍵。圖3-5 按鍵模塊電路圖四、系統(tǒng)軟件設(shè)計(jì)4.1系統(tǒng)總體流程圖基本的程序流程應(yīng)該是:程序執(zhí)行,先通過初始化各個(gè)寄存器,在主程序中經(jīng)過掃描按鍵來檢測(cè)各個(gè)時(shí)間按鍵是否有動(dòng)作,從而決定是否設(shè)定參數(shù)來執(zhí)行相應(yīng)功能的程序,進(jìn)而在數(shù)碼管上顯示,若有就儲(chǔ)存并修改相關(guān)的的時(shí)間寄存器的值,若沒有就繼續(xù)檢測(cè)。在計(jì)時(shí)子程序中將各時(shí)間寄存器的值逐個(gè)加1,每加一次就要檢查是否已超過顯示的上限值,這樣在后面就便于處理,在掃描顯示子程序中,將掃描位數(shù)指針與數(shù)字字碼表首地址相加,從而得到相應(yīng)的顯示數(shù)據(jù);然后按照顯示的位

18、數(shù)將數(shù)據(jù)從P0和P2口輸出到數(shù)碼管上去顯示,當(dāng)然還有定時(shí)中斷子程序,在這里,它實(shí)現(xiàn)計(jì)時(shí)1S的時(shí)間延時(shí)。圖4-1 系統(tǒng)總體流程圖4.2源程序代碼S_SETBITP1.0;秒+1端口SO_SETBITP1.1;秒-1端口M_SETBITP1.2;分+1端口MO_SETBITP1.3;分-1端口H_SETBITP1.4;時(shí)+1端口HO_SETBITP1.5;時(shí)-1端口SEQU30H;秒顯示寄存器單元位MEQU31H;分顯示寄存器單元位HEQU32H;時(shí)顯示寄存器單元位ORG0000HLJMPSTARTORG000FHLJMPINT_T0ORG0100HSTART:MOVDPTR,#TABMOVH,#

19、12 MOVM,#0MOVS,#0MOVR0,#0MOVTMOD,#01HMOVTH0,#3CHMOVTL0,#0B0HSETBTR0SETBET0SETBEAS1:ACALLDELJBS_SET,A1INCSMOVA,SCJNEA,#60,J1MOVS,#0LJMPK1S2:ACALLDELJBSO_SET,A1DECSMOVA,SCJNEA,#00,J2MOVS,#0LJMPA1S3:ACALLDELJBM_SET,A1K1:INCMMOVA,MCJNEA,#60,J3MOVM,#0LJMPK2S4:ACALLDELJBMO_SET,A1 DECMMOVA,MCJNEA,#00,J4MOV

20、M,#0LJMPA1S5:ACALLDELJBH_SET,A1K2:INCHMOVA,HCJNEA,#24,J5MOVS,#0 MOVM,#0MOVH,#0LJMPA1S6:ACALLDELJBHO_SET,A1 DECHMOVA,HCJNEA,#00,J6MOVH,#0LJMPA1A1:ACALLDISPLAYJNBS_SET,S1JNBSO_SET,S2JNBM_SET,S3JNBMO_SET,S4JNBH_SET,S5JNBHO_SET,S6LJMPA1J1:JBS_SET,A1ACALLDISPLAYSJMPJ1J2:JBSO_SET,A1ACALLDISPLAYSJMPJ2J3:JB

21、M_SET,A1ACALLDISPLAYSJMPJ3J4:JBMO_SET,A1ACALLDISPLAYSJMPJ4J5:JBH_SET,A1ACALLDISPLAYSJMPJ5J6:JBHO_SET,A1ACALLDISPLAYSJMPJ6INT_T0:MOVTH0,#3CH;設(shè)置定時(shí)初值50msMOVTL0,#0B0HINCR0MOVA,R0CJNEA,#20,RETUNE;設(shè)置中斷20次INCSMOVR0,#0MOVA,SCJNEA,#60,RETUNEINCMMOVS,#0MOVA,MCJNEA,#60,RETUNEINCHMOVM,#0MOVA,HCJNEA,#24,RETUNEMO

22、VH,#0 MOVM,#0MOVS,#0MOVR0,#0RETUNE:RETIDISPLAY:MOVA,SMOVB,#10DIVABCLRP2.6;秒片選H位MOVCA,A+DPTRCPLAMOVP0,A;段選信號(hào)輸出口ACALLDELSETBP2.6MOVA,BCLRP2.7; 秒片選L位MOVCA,A+DPTRCPLAMOVP0,AACALLDELSETBP2.7MOVA,MMOVB,#10DIVABCLRP2.3; 分片選H位MOVCA,A+DPTRCPLAMOVP0,AACALLDELSETBP2.3MOVA,BCLRP2.4; 分片選L位MOVCA,A+DPTRCPLAMOVP0,A

23、ACALLDELSETBP2.4MOVA,HMOVB,#10DIVABCLRP2.0; 時(shí)片選H位MOVCA,A+DPTRCPLAMOVP0,AACALLDELSETBP2.0MOVA,BCLRP2.1; 時(shí)片選L位MOVCA,A+DPTRCPLAMOVP0,AACALLDELSETBP2.1RETTAB:DB03H,9FH,25H,0DH,99H,49H,41H,1FH,01H,09H;數(shù)字字碼表DEL:MOVR6,#10LOOP1:MOVR7,#100LOOP2:DJNZR7,LOOP2DJNZR6,LOOP1RETEND五、系統(tǒng)仿真與測(cè)試5.1系統(tǒng)仿真運(yùn)用proteus軟件進(jìn)行仿真現(xiàn)在p

24、roteus軟件中建立一個(gè)新的文件,再根據(jù)自己的要求選擇所需的器件,把器件進(jìn)行適當(dāng)?shù)呐盼缓筮M(jìn)行連接,連接后運(yùn)行軟件進(jìn)行仿真。如圖5-1。圖5-1 系統(tǒng)仿真圖5.2實(shí)驗(yàn)接線此接線以在DJ-598K試驗(yàn)儀上為例,因?yàn)檎n題要求利用DJ-598K試驗(yàn)儀的硬件資源,又由于DJ-598K試驗(yàn)儀內(nèi)關(guān)于電源線、地線、晶振、復(fù)位等部分接線均以接好,且最終也要在DJ-598K試驗(yàn)儀上實(shí)現(xiàn)系統(tǒng)。接線1接到接到接到秒加P1.0P0.0JLED.7P2.JS.0秒減P1.1P0.1JLED.6P2.JS.1分加P1.2P0.2JLED.5分減P1.3P0.3JLED.4P2.JS.2時(shí)加P1.4P0.4JLED.3P2

25、.JS.3時(shí)減P1.5P0.5JLED.2P0.6JLED.1P2.JS.4P0.7JLED.0P2.JS.5接線2設(shè)置開關(guān)設(shè)置設(shè)置JK外接CPU卡上撥碼開關(guān)K1、K8ONVision中的debugUSE:KeilMonitor-51DriverPort:COM1口KB651K2、K3、K4、K5、K6、K7OFFBandrate:57600仿真實(shí)驗(yàn)接線,則按系統(tǒng)仿真圖進(jìn)行線路的連接。5.3實(shí)驗(yàn)測(cè)試電子時(shí)鐘主要的設(shè)計(jì)要求是能夠?qū)崿F(xiàn)時(shí)鐘的一般功能,以及包括時(shí)間的調(diào)整功能,這個(gè)基于單片機(jī)的電子時(shí)鐘基本上實(shí)現(xiàn)了上述功能,能夠通過時(shí)間調(diào)整電路對(duì)時(shí)間進(jìn)行調(diào)整以及時(shí)間計(jì)時(shí)。圖5-2為23:45:10的仿真圖:圖5-2 23:45:10時(shí)刻的仿真效果總結(jié)單片機(jī)作為我們主要的專業(yè)課程之一,我覺得單片機(jī)課程設(shè)計(jì)很有必要,而且很有意義。但當(dāng)拿到題目時(shí),確實(shí)不知道怎么著手,有些迷茫,但想到謝永寧老師在上課時(shí),給我們很詳細(xì)的開發(fā)、分析過一個(gè)工業(yè)實(shí)例,在這個(gè)案例的啟發(fā)、幫助下,歷時(shí)一個(gè)星期,解決了一個(gè)又一個(gè)難題,終于完成本次課題任務(wù)。通過本課程設(shè)計(jì)培養(yǎng)我的系統(tǒng)設(shè)計(jì)能力,使我得到了能力上的訓(xùn)練,培養(yǎng)和提高我以下方面的能力: (1)、調(diào)查研究、分析問題的能力 (2)、使用設(shè)計(jì)手冊(cè)、技術(shù)規(guī)范的能力 (3)、查閱中外文獻(xiàn)的能力 (4)、制定設(shè)計(jì)方案的能力 (5)、計(jì)算機(jī)應(yīng)用的能力 (6)、設(shè)計(jì)計(jì)算和繪

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論