帶定時(shí)功能的鬧鈴時(shí)鐘設(shè)計(jì)--自動(dòng)化--_第1頁(yè)
帶定時(shí)功能的鬧鈴時(shí)鐘設(shè)計(jì)--自動(dòng)化--_第2頁(yè)
帶定時(shí)功能的鬧鈴時(shí)鐘設(shè)計(jì)--自動(dòng)化--_第3頁(yè)
帶定時(shí)功能的鬧鈴時(shí)鐘設(shè)計(jì)--自動(dòng)化--_第4頁(yè)
帶定時(shí)功能的鬧鈴時(shí)鐘設(shè)計(jì)--自動(dòng)化--_第5頁(yè)
已閱讀5頁(yè),還剩26頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、帶定時(shí)功能的鬧鐘設(shè)計(jì)課 程 設(shè) 計(jì) 任 務(wù) 書(shū)設(shè)計(jì)題目 帶定時(shí)功能的鬧鈴時(shí)鐘設(shè)計(jì)學(xué)生姓名所在學(xué)院電子信息與電氣工程學(xué)院專(zhuān)業(yè)、年級(jí)、班設(shè)計(jì)要求:1、有電源開(kāi)具關(guān)及指示燈,有復(fù)位按鍵。2、接通電源后,蜂鳴器連續(xù)兩次發(fā)出響聲,同時(shí)工作指示燈LED閃動(dòng),表示程序執(zhí)行,數(shù)碼管顯示“0000”3、接著設(shè)置當(dāng)前時(shí)間。按K1鍵,LED停止閃動(dòng),即進(jìn)入時(shí)間設(shè)置狀態(tài);按K2鍵調(diào)整小時(shí),每按一次數(shù)值增1;按K3鍵調(diào)整時(shí)間。設(shè)置完成后,按K4,LED恢復(fù)閃爍,即設(shè)置完成,進(jìn)入正常走時(shí)狀態(tài)。4、設(shè)置鬧鈴時(shí)間,進(jìn)入正常走時(shí)狀態(tài)后再按K2鍵,即進(jìn)入鬧鈴時(shí)間設(shè)定狀態(tài),K2為設(shè)置鬧鈴功能鍵,再按k2,小時(shí)調(diào)整;按k3,分鐘調(diào)整

2、。5、鬧鈴開(kāi)、關(guān)設(shè)置。鬧鈴時(shí)間設(shè)定完后,再按k4,進(jìn)入鬧鈴開(kāi)、關(guān)設(shè)置。若設(shè)置為開(kāi),啟動(dòng)鬧鈴后連續(xù)3次發(fā)出響聲;若設(shè)置為關(guān),關(guān)閉鬧鈴時(shí)發(fā)出1次響聲。6、掌握程序模塊化設(shè)計(jì)思想。程序設(shè)計(jì)時(shí)可分解為走時(shí)、鬧鈴設(shè)置、顯示、檢查鬧鈴時(shí)間、執(zhí)行 鬧鈴時(shí)間處理、調(diào)整等部分組成。每部分均通過(guò)子程序?qū)崿F(xiàn)。再過(guò)主程序的調(diào)用,使其有機(jī)聯(lián)系,最終實(shí)現(xiàn)定時(shí)鬧鈴時(shí)鐘功能。學(xué)生應(yīng)完成的工作:1、閱讀課程設(shè)計(jì)說(shuō)明書(shū),收集資料,設(shè)計(jì)出硬件電路;2、設(shè)計(jì)多個(gè)方案,進(jìn)行討論,選出最優(yōu)方案;3、設(shè)計(jì)匯編程序,仿真、焊接、調(diào)試;4、認(rèn)真書(shū)寫(xiě)課程設(shè)計(jì)報(bào)告;5、在這次課程設(shè)計(jì)負(fù)責(zé)電路的仿真與調(diào)試。參考文獻(xiàn)閱讀: 1.張毅剛.單片機(jī)原理及應(yīng)

3、用.高等教育出版社,2010 2.楊居義.單片機(jī)課程設(shè)計(jì)指導(dǎo).清華大學(xué)出版社,2009 3 趙曙光.可編程邏輯器件,原理,開(kāi)發(fā)與應(yīng)用.西安,西安電子科技大學(xué), 2000 4 付家才.單片機(jī)控制工程實(shí)踐技術(shù).北京,化學(xué)工業(yè)出版社,2004 5 李全利.遲榮強(qiáng).單片機(jī)原理及接口技術(shù).北京,高等教育出版社,2004工作計(jì)劃:2014.6.3 熟悉課題,查閱資料;2014.6.42014.6.5 確定系統(tǒng)硬件方案;2014.6.62014.6.8 確定程序流程,編寫(xiě)程序;2014.6.9 完成系統(tǒng)軟件設(shè)計(jì);2014.6.102014.6.11 系統(tǒng)硬件電路制作;2014.6.12 燒錄程序,系統(tǒng)調(diào)試;

4、2014.6.13 完成課程設(shè)計(jì)報(bào)告的撰寫(xiě);任務(wù)下達(dá)日期:2014 年6月 3 日 任務(wù)完成日期:2014 年6月 13 日指導(dǎo)教師(簽名): 學(xué)生(簽名): 帶定時(shí)功能的鬧鈴時(shí)鐘設(shè)計(jì)摘 要:本設(shè)計(jì)是以單片機(jī)AT89S52配備LED數(shù)碼顯示管,數(shù)字鐘采用24小時(shí)制方式顯示時(shí)間,采用蜂鳴器做提醒,通過(guò)軟件程序的編制合理充分地使用硬件以完成系統(tǒng)所要完成的任務(wù)。定時(shí)鬧鐘在硬件方面,采用了AT89S52芯片,用4位數(shù)碼管來(lái)進(jìn)行顯示,LED用P1口進(jìn)行驅(qū)動(dòng),采用的是動(dòng)態(tài)掃描,能夠比較準(zhǔn)確的顯示時(shí)間,電源電路主要是由變壓器、橋堆、電容、穩(wěn)壓器、電阻、發(fā)光二極管等元件構(gòu)成,由電容和晶振等構(gòu)成時(shí)鐘電路,一個(gè)開(kāi)

5、關(guān)和電容電阻接上電源構(gòu)成了復(fù)位電路,然后通過(guò)S1、S2、S3和S4四個(gè)功能按鍵可以時(shí)間對(duì)事件的修改和定時(shí),定時(shí)時(shí)間到后蜂鳴器發(fā)出響聲。在軟件方面采用C語(yǔ)言編程,整個(gè)系統(tǒng)能完成時(shí)間的顯示、調(diào)時(shí)和定時(shí)鬧鐘、復(fù)位等功能,并通過(guò)仿真后得到正確的結(jié)果。關(guān)鍵詞:AT89S52;定時(shí)鬧鐘;時(shí)鐘電路目 錄1. 設(shè)計(jì)背景11.1單片機(jī)簡(jiǎn)介11.2課程設(shè)計(jì)的目的和意義12.設(shè)計(jì)方案12.1定時(shí)鬧鐘的系統(tǒng)設(shè)計(jì)12.2時(shí)鐘設(shè)計(jì)方案12.3數(shù)碼管顯示方案23.方案實(shí)施23.1時(shí)鐘的硬件電路原理設(shè)計(jì)23.2電路模塊33.2.1系統(tǒng)時(shí)鐘電路33.2.2系統(tǒng)的電源電路33.2.3復(fù)位電路43.2.4控制按鍵模塊53.3程序設(shè)

6、計(jì)53.4仿真電路設(shè)計(jì)84.結(jié)果與結(jié)論94.1電路實(shí)現(xiàn)的功能94.2電路調(diào)試95.收獲與致謝106.參考文獻(xiàn)107.附件11附件1:電路原理圖11附件:2:元器件清單11附件3:實(shí)物圖12附件4:源程序131. 設(shè)計(jì)背景1.1單片機(jī)簡(jiǎn)介單片微型計(jì)算機(jī),簡(jiǎn)稱(chēng)單片機(jī)。它在一塊芯片上集成了中央處理器、只讀存儲(chǔ)器、輸入輸出接口、可編程計(jì)數(shù)器和定時(shí)器等。一塊單片機(jī)芯片,就相當(dāng)于一臺(tái)計(jì)算機(jī)。它的集成度高,功能強(qiáng),結(jié)構(gòu)采用哈弗結(jié)構(gòu),抗干擾性強(qiáng),指令豐富。單片機(jī)在工作前,首先必須在程序存儲(chǔ)器內(nèi)裝入程序。單片機(jī)開(kāi)始工作后,即按地址先先從存儲(chǔ)器中取出指令,然后把指令譯碼,以確定該指令執(zhí)行的什么操作和操作數(shù)的存放地

7、址,再根據(jù)這三個(gè)地址取操作數(shù),接著CPU對(duì)操作數(shù)進(jìn)行操作,操作結(jié)果送入存儲(chǔ)器或經(jīng)接口電路送入顯示器、打印機(jī)等外部設(shè)備。1.2課程設(shè)計(jì)的目的和意義 隨著就業(yè)形式日益嚴(yán)峻,大學(xué)生的動(dòng)手能力、實(shí)踐能力和綜合素質(zhì)越來(lái)越受到學(xué)校和用人單位的重視。在大學(xué)學(xué)習(xí)期間,課程設(shè)計(jì)無(wú)疑是培養(yǎng)和鍛煉動(dòng)手能力、實(shí)踐能力和綜合素質(zhì)的一個(gè)重要環(huán)節(jié)。LED電子定時(shí)鬧鐘使用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)分秒計(jì)時(shí)的裝置,有著更高的準(zhǔn)確性和直觀(guān)性,壽命更長(zhǎng),廣泛的應(yīng)用于家庭,車(chē)站,辦公室等場(chǎng)所,成為人們?nèi)粘I畈豢苫蛉钡谋匦杵?,給人們帶來(lái)了很大的方便。以單片機(jī)為核心的數(shù)字時(shí)鐘是很有社會(huì)意義和社會(huì)價(jià)值的,鐘表原先的報(bào)時(shí)功能已經(jīng)不能滿(mǎn)足人們?nèi)找嬖?/p>

8、長(zhǎng)的要求,LED電子定時(shí)鬧鐘的多功能必定將一步一步的開(kāi)拓出來(lái)。與時(shí)間相關(guān)的產(chǎn)品在任何時(shí)候都是不可或缺的物質(zhì),所以,軟件代替硬件的控制之下的單片機(jī),必定有著更為廣泛的應(yīng)用市場(chǎng)。2.設(shè)計(jì)方案2.1定時(shí)鬧鐘的系統(tǒng)設(shè)計(jì) 定時(shí)鬧鐘的系統(tǒng)可以分為六個(gè)環(huán)節(jié),分別是電源電路模塊、時(shí)鐘電路模塊、復(fù)位電路模塊、時(shí)間顯示模塊、控制按鍵模塊和鬧鈴模塊。通過(guò)模塊與模塊之間的相互作用,實(shí)現(xiàn)定時(shí)鬧鐘的功能。 2.2時(shí)鐘設(shè)計(jì)方案方案一:運(yùn)用所學(xué)的數(shù)字電子技術(shù)知識(shí), 555秒脈沖發(fā)生器和74系列等相關(guān)芯片設(shè)計(jì)出純硬件的電子鬧鐘原理圖。本方案的優(yōu)點(diǎn)是:思想簡(jiǎn)單,不需要編寫(xiě)程序;缺點(diǎn)是:所需器件太多,電路復(fù)雜,不容易焊接

9、。 方案二:使用單片機(jī)內(nèi)部的可編程定時(shí)器,根據(jù)單片機(jī)是利用MCS-51中的89C52作為其核心部分,其內(nèi)部的定時(shí)/計(jì)數(shù)器進(jìn)行中斷定時(shí),配合軟件延時(shí)實(shí)現(xiàn)時(shí)、分、秒的計(jì)時(shí),這樣設(shè)計(jì)硬件成本較低,電路簡(jiǎn)單,但程序設(shè)計(jì)較為復(fù)雜。 綜上所述及本次課程設(shè)計(jì)的要求主要是鍛煉我們利用單片機(jī)知識(shí)進(jìn)行簡(jiǎn)單設(shè)計(jì)的能力,故選擇方案二。2.3數(shù)碼管顯示方案方案一:靜態(tài)顯示。所謂靜態(tài)顯示,就是當(dāng)顯示器顯示某一字符時(shí),相應(yīng)的發(fā)光二極管恒定的導(dǎo)通或截止。該方式每一位都需要一個(gè)8位輸出口控制。靜態(tài)顯示時(shí)較小的電流能獲得較高的亮度,且字符不閃爍。但當(dāng)所顯示的位數(shù)較多時(shí),靜態(tài)顯示所需的I/O口太多,造成了資源的

10、浪費(fèi)。 方案二:動(dòng)態(tài)顯示。所謂動(dòng)態(tài)顯示就是一位一位的輪流點(diǎn)亮各個(gè)位,對(duì)于顯示器的每一位來(lái)說(shuō),每隔一段時(shí)間點(diǎn)亮一次。利用人的視覺(jué)暫留功能可以看到整個(gè)顯示,但必須保證掃描速度足夠快,字符才不閃爍。顯示器的亮度既與導(dǎo)通電流有關(guān),也于點(diǎn)亮?xí)r間與間隔時(shí)間的比例有關(guān)。調(diào)整參數(shù)可以實(shí)現(xiàn)較高穩(wěn)定度的顯示。動(dòng)態(tài)顯示節(jié)省了I/O口,降低了能耗。 從節(jié)省I/O口和降低能耗的角度考慮,本設(shè)計(jì)采用了方案二。3. 方案實(shí)施3.1時(shí)鐘的硬件電路原理設(shè)計(jì)電路原理設(shè)計(jì)是基于小系統(tǒng)包括電源電路、復(fù)位電路、按鍵電路、時(shí)鐘電路、數(shù)碼管顯示驅(qū)動(dòng)電路和輸出控制電路??傮w硬件設(shè)計(jì)框圖3.1.1所示:AT89S52電源電

11、路復(fù)位電路晶振電路74HC573數(shù)碼管路按鍵電路指示燈、蜂鳴器電路 圖3.1.1 系統(tǒng)硬件組合圖3.2電路模塊3.2.1系統(tǒng)時(shí)鐘電路AT89S52內(nèi)部有一個(gè)用于構(gòu)成振蕩器的高增益反相放大器,此放大器的輸入和輸出端分別是引腳XTAL1和XTAL2,在XTAL1和XTAL2上外接是時(shí)鐘電源可構(gòu)成時(shí)鐘電路。單片機(jī)的生產(chǎn)工藝不同,時(shí)鐘的產(chǎn)生方式也不同,有內(nèi)部和外部?jī)煞N時(shí)鐘產(chǎn)生方式,根據(jù)該設(shè)計(jì)需要,采取內(nèi)部方式。原理圖如圖3.2.1所示: 圖3.2.1系統(tǒng)時(shí)鐘電路3.2.2系統(tǒng)的電源電路電源電路主要是由變壓器、橋式整流、電容、穩(wěn)壓器、電阻、發(fā)光二極管構(gòu)成,交流電壓220V通過(guò)變壓器變壓以后,經(jīng)過(guò)橋式整流

12、變成直流電壓,然后經(jīng)過(guò)電容濾波和7805穩(wěn)壓,最后輸出+5V的電源,然后在電源電路中加上一個(gè)發(fā)光二極管,當(dāng)電源接通后發(fā)光二極管會(huì)亮。電路圖如圖3.2.2所示: 圖3.2.2電源電路電源電路也可以使用USB端口提供+5V電源。USB電源供電在本次設(shè)計(jì)中會(huì)使電路簡(jiǎn)單,并使得電路通電調(diào)試更加容易。 3.2.3復(fù)位電路 在振蕩器運(yùn)行時(shí),有兩個(gè)機(jī)器周期(24個(gè)振蕩周期)以上的高電平出現(xiàn)在此引腿時(shí),將使單片機(jī)復(fù)位,只要這個(gè)腳保持高電平,51芯片便循環(huán)復(fù)位。復(fù)位后P0P3口均在1引腳表現(xiàn)為高電平,程序計(jì)數(shù)器和特殊功能寄存器SFR全部清零。當(dāng)復(fù)位腳由高電平變?yōu)榈碗娖綍r(shí),芯片為ROM的00H處開(kāi)始運(yùn)行程序。復(fù)位

13、是由外部的復(fù)位電路來(lái)實(shí)現(xiàn)的。片內(nèi)復(fù)位電路是復(fù)位引腳RST通過(guò)一個(gè)斯密特觸發(fā)器與復(fù)位電路相連,斯密特觸發(fā)器用來(lái)抑制噪聲,它的輸出在每個(gè)機(jī)器周期的S5P2,由復(fù)位電路采樣一次。復(fù)位電路通常采用上電自動(dòng)復(fù)位和按鈕復(fù)位兩種方式,此電路系統(tǒng)采用的是上電與按鈕復(fù)位電路。復(fù)位操作不會(huì)對(duì)內(nèi)部RAM有所影響。如圖3.2.4所示: 圖3.2.4復(fù)位電路3.2.4控制按鍵模塊按鍵S1、S2、S3、S4分別接到P2.0、P2.1、P2.2、P2.3端口。S1鍵為時(shí)間設(shè)置和正常走時(shí)的設(shè)置鍵。S2鍵設(shè)置鬧鐘功能鍵、鬧鐘和當(dāng)前時(shí)間的小時(shí)設(shè)置。S3鍵調(diào)整當(dāng)前時(shí)間和鬧鐘的分鐘設(shè)置。S4鍵為設(shè)置當(dāng)前時(shí)間后恢復(fù)鍵和鬧鈴開(kāi)關(guān)設(shè)置鍵。

14、電源電路如圖3.2.5所示: 圖3.2.5系統(tǒng)按鍵控制電路設(shè)置當(dāng)前時(shí)間:按S2鍵LED停止閃動(dòng),即進(jìn)入時(shí)間設(shè)置狀態(tài),按S3鍵調(diào)整分鐘,每按一次數(shù)值增一,設(shè)置完成后按S4,LED恢復(fù)閃爍,及設(shè)置完成,進(jìn)入正常走時(shí)狀態(tài)。設(shè)置鬧鈴時(shí)間:S2鍵進(jìn)入鬧鈴設(shè)置時(shí)間設(shè)定狀態(tài),S2為設(shè)置鬧鈴功能鍵,按S3分鐘調(diào)整,設(shè)置完成后按S4。鬧鈴開(kāi)關(guān)設(shè)置:鬧鈴時(shí)間設(shè)定后,再按S4鍵,進(jìn)入鬧鈴開(kāi)關(guān)設(shè)置。3.3程序設(shè)計(jì)單片機(jī)軟件的設(shè)計(jì)主要包括執(zhí)行軟件(完成各種實(shí)質(zhì)性功能)的設(shè)計(jì)和監(jiān)控軟件的設(shè)計(jì)。單片機(jī)的軟件設(shè)計(jì)通常要考慮以下幾個(gè)方面的問(wèn)題:(1)根據(jù)軟件功能要求,將系統(tǒng)軟件劃分為若干個(gè)相對(duì)獨(dú)立的部分,設(shè)計(jì)出合理的總體結(jié)構(gòu),

15、使軟件開(kāi)發(fā)清晰、簡(jiǎn)潔和流程合理;(2)培養(yǎng)良好的編程風(fēng)格,如考慮結(jié)構(gòu)化程序設(shè)計(jì)、實(shí)行模塊化、子程序化。既便于調(diào)試、鏈接,又便于移植和修改;(3)建立正確的數(shù)學(xué)模型,通過(guò)仿真提高系統(tǒng)的性能,并選取合適的參數(shù);(4)繪制程序流程圖;(5)合理分配系統(tǒng)資源;(6)為程序加入注釋?zhuān)岣呖勺x性,實(shí)施軟件工程;(7)注意軟件的抗干擾設(shè)計(jì),提高系統(tǒng)的可靠性。主程序流程圖和時(shí)鐘定時(shí)、調(diào)整流程圖分別如圖3.3.1和3.3.2所示: 主程序開(kāi)始設(shè)定定時(shí)器初值、開(kāi)中斷數(shù)碼管顯示秒針加11sY分針加1、秒針清0N時(shí)針加1、分針清0滿(mǎn)60Y滿(mǎn)10時(shí)針清0滿(mǎn)24YYNNN數(shù)顯00-00-00、蜂鳴器連響兩聲 圖3.3.1

16、主流程圖調(diào)時(shí)間K1鍵K4鍵K3鍵K2鍵分針每次加1時(shí)鐘正走、紅燈燈停止閃爍、綠燈亮?xí)r針每次加1NNYYYY主程序N主程序N定時(shí)開(kāi)始、綠燈滅、黃燈亮YK2鍵K3鍵分針每次加1K2鍵時(shí)針每次加1K4鍵YYNN鬧鐘開(kāi)、蜂鳴器連響三次K4鍵數(shù)碼管顯示YK4鍵鬧鐘關(guān)、蜂鳴器連響兩次次YY圖3.3.2時(shí)鐘定時(shí)、調(diào)整流程圖3.4仿真電路設(shè)計(jì)使用proteus軟件進(jìn)行課題的仿真實(shí)驗(yàn),仿真電路包括電源部分、單片機(jī)控制部分、數(shù)碼管顯示部分、按鍵調(diào)時(shí)、定時(shí)控制部分、指示燈蜂鳴器五部分構(gòu)成。仿真過(guò)程是將各部分組合到一起,把hex格式的程序載入單片機(jī),運(yùn)行電路后D3開(kāi)始閃爍,電路正常工作。D1閃爍一次同時(shí)蜂鳴器鳴響兩聲

17、,按下S1后D3停止閃爍,進(jìn)入時(shí)間設(shè)置,按下S2后數(shù)碼管顯示小時(shí)數(shù),按24下后歸零,說(shuō)明小時(shí)程序部分是正確的。按下S3后,進(jìn)入分鐘設(shè)置,同樣的方法可證明與分鐘相關(guān)程序的正確性。按下S4后,D3重新開(kāi)始閃爍,電路進(jìn)入正常工作模式。按下S2后D2得電發(fā)光,進(jìn)入定時(shí)設(shè)置,再次按下S2小時(shí)增加。按下S3后分鐘數(shù)增加。確定定時(shí)時(shí)間后,按下S4蜂鳴器鳴響3次進(jìn)入定時(shí)計(jì)時(shí),定時(shí)時(shí)間到后,D1發(fā)光同時(shí)蜂鳴器持續(xù)鳴響。電路實(shí)現(xiàn)要求的功能,實(shí)現(xiàn)時(shí)鐘與定時(shí)功能。 圖3.4 仿真圖3.5 PCB布線(xiàn)圖 使用Altium designer設(shè)計(jì)課題的布線(xiàn)圖,考慮到電源線(xiàn)應(yīng)盡量加粗,電源線(xiàn)寬為0.8mm,而信號(hào)線(xiàn)寬則為0.

18、6mm。電源線(xiàn)和地線(xiàn)的位置良好配合,可以降低電磁干擾的可能性。布線(xiàn)圖如圖3.5所示: 圖3.5PCB布線(xiàn)圖4.結(jié)果與結(jié)論 4.1電路實(shí)現(xiàn)的功能 程序燒入單片機(jī)后進(jìn)行電路的調(diào)試,接通電源后,蜂鳴器連續(xù)兩次發(fā)出響聲,同時(shí)工作指示燈LED閃動(dòng),表示程序執(zhí)行,數(shù)碼管未能顯示“0000”。按S1鍵,LED停止閃動(dòng),即進(jìn)入時(shí)間設(shè)置狀態(tài);按S2調(diào)整小時(shí),每按一次數(shù)值增1;按S3調(diào)整時(shí)間。設(shè)置完成后,按S4,LED恢復(fù)閃爍,即設(shè)置完成,進(jìn)入正常走時(shí)狀態(tài)。然后設(shè)置鬧鈴時(shí)間。進(jìn)入正常走時(shí)狀態(tài)后再按S2鍵,即進(jìn)入鬧鈴時(shí)間設(shè)定狀態(tài),S2為設(shè)置鬧鈴功能鍵。再按S2,小時(shí)調(diào)整;按S3,分鐘調(diào)整。鬧鈴時(shí)間設(shè)定完后,再按S4

19、,進(jìn)入鬧鈴開(kāi)/關(guān)設(shè)置。若設(shè)置為開(kāi),啟動(dòng)鬧鈴后連續(xù)3次發(fā)出響聲;若設(shè)置為關(guān),關(guān)閉鬧鈴時(shí)發(fā)出1次響聲。由于數(shù)碼管不顯示,所以定時(shí)為1分鐘,定時(shí)時(shí)間到后,蜂鳴器鳴響,說(shuō)明定時(shí)電路工作正常。4.2電路調(diào)試由于實(shí)際電路數(shù)碼管電路中未能加上上拉電阻導(dǎo)致數(shù)碼管的電壓不足而無(wú)法顯示數(shù)字。而在仿真時(shí)數(shù)碼管不加上拉電阻是仍能正常工作,所以在實(shí)際布線(xiàn)圖中未加上拉電阻而使數(shù)碼管的驅(qū)動(dòng)電壓不足,這是本次設(shè)計(jì)的缺陷,但同時(shí)也收獲了相應(yīng)的經(jīng)驗(yàn)和教訓(xùn)。在與小組成員討論后在數(shù)碼管電路中加上了驅(qū)動(dòng)電路,數(shù)碼管能正常顯示數(shù)據(jù)?;旧蠈?shí)現(xiàn)了所要求的內(nèi)容。5. 收獲與致謝 通過(guò)這次設(shè)計(jì)運(yùn)用了單片機(jī),使我對(duì)課題上遇到的問(wèn)題有了更加清楚的

20、了解,從這次設(shè)計(jì)中我更加了解了單片機(jī)的構(gòu)造和各個(gè)引腳的作用以及單片機(jī)的編程。使我對(duì)單片機(jī)的基本電路比如晶振電路,復(fù)位電路以及電源電路更見(jiàn)深刻。但是由于在仿真電路中數(shù)碼管無(wú)上拉電阻時(shí)仍能正常工作,而在實(shí)際實(shí)驗(yàn)時(shí)數(shù)碼管不能正常顯示。這種情況的出現(xiàn)使我明白了仿真與實(shí)際功能實(shí)現(xiàn)的差距,是教訓(xùn)也同樣是經(jīng)驗(yàn)。通過(guò)這次設(shè)計(jì),我了解了自己在專(zhuān)業(yè)課上有很多的不足,通過(guò)兩周的實(shí)踐使我明白我現(xiàn)在的努力還不能勝任很多現(xiàn)實(shí)中遇到的問(wèn)題,也是我明白要學(xué)會(huì)積累自己的封裝庫(kù)等等。實(shí)踐的經(jīng)歷使我對(duì)自己將來(lái)就業(yè)方向有了更加清晰的了解。最后要感謝我們一組的同學(xué)們,因?yàn)閳F(tuán)隊(duì)合作在課程設(shè)計(jì)起到了很重要的作用,每個(gè)人都各盡所能。但最重要

21、的是要感謝老師對(duì)我們的的指點(diǎn)與教導(dǎo)。6. 參考文獻(xiàn)1 張毅剛.彭喜元.彭宇.單片機(jī)原理及應(yīng)用.北京.高等教育出版社.20102 趙曙光.可編程邏輯器件,原理,開(kāi)發(fā)與應(yīng)用.西安,西安電子科技大學(xué), 2000 3 付家才.單片機(jī)控制工程實(shí)踐技術(shù).北京,化學(xué)工業(yè)出版社,2004 4 李全利.遲榮強(qiáng).單片機(jī)原理及接口技術(shù).北京,高等教育出版社,20047. 附件附件1:電路原理圖附件:2:元器件清單名稱(chēng)規(guī)格與型號(hào)數(shù)量單片機(jī)芯片AT89S521個(gè)電阻680 R1個(gè)1K R5個(gè)八段數(shù)碼管四位共陽(yáng)極1個(gè)三極管9015 V2個(gè)LED指示燈LED4個(gè)瓷片電容 33pF C2個(gè) 10pf C1個(gè)電解電容 22F

22、C2個(gè) 1000F C2個(gè)晶振 11.0592MHz1個(gè)按鍵 SW-PT5個(gè)撥動(dòng)開(kāi)關(guān)SW-SPST1個(gè)橋堆2W10 D1個(gè)IC插座 40針腳1個(gè)三端集成穩(wěn)壓器 LM78051個(gè)鎖存器74LS3731個(gè)Usb(母口)1個(gè)蜂鳴器1個(gè)導(dǎo)線(xiàn)若干附件3:實(shí)物圖 附件4:源程序#include<reg52.h>#include<intrins.h>#define uint unsigned int#define uchar unsigned charuchar count,s1num,hour1,min1;uchar sec,ahour,min,number,hour,num,aa

23、,key,key1,key2,key3,count1,flag,s4num, alarms ,k1,k2,m1,m2,s2num,working,beepnum,num1;/記錄響了幾聲uchar k1,k2,start,flagstart,count2,startahour;uchar code table=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e;sbit s1=P20;sbit s2=P21;sbit s3=P22;sbit s4=P23;sbit beep=P37;sb

24、it led=P36;sbit led2=P35;sbit led3=P34;void delay(uint z);void disply();void init()TMOD=0x11;TH1=(65536-50000)/256;TL1=(65536-50000)%256;TH0=(65536-10000)/256;TL0=(65536-10000)%256;EA=1;ET0=1;TR0=0;ET1=1;TR1=1;startahour=1;min1=68;void disply() ahour=hour/10; /小時(shí)顯示number=hour%10; P1=0X01; /P0段選 P1是位

25、選P0=tableahour; delay(5);P1=0X02;P0=tablenumber; delay(5); P1=0X04; P0=0xbf; delay(5);ahour=min/10;number=min%10; /分鐘顯示P1=0X10;P0=tablenumber;delay(5);P1=0x08;P0=tableahour; delay(5);P1=0X20; P0=0xbf; delay(5); ahour=sec/10; /秒顯示number=sec%10; P1=0x40;P0=tableahour; delay(5);P1=0X80;P0=tablenumber;d

26、elay(5); void dingahour() if(start=0) if(hour=hour1) if(min=min1) beep=0; else beep=1; else beep=1; void main()init();while(1)disply();dingahour();if(s2num) led2=0; else led2=1; void delay(uint z)uint x,y;for(x=z;x>0;x-)for(y=110;y>0;y-);void timer1() interrupt 3 /定時(shí)器中斷按鍵 TH1=(65536-10000)/256

27、; TL1=(65536-10000)%256; count1+; count2+; if(startahour=1) /beepnum用于記錄蜂鳴器響的次數(shù) if(count2<50) beep=0; else beep=1; if(count2=100) count2=0; beepnum+; if(beepnum=2) beepnum=0;startahour=0; switch(key) /k1 case 0: if(s1=0) /如果按鍵1沒(méi)按 key+; /key加1 break; case 1: if(s1=0) key+; else key=0; break; case

28、2: if(s1) key=0; flag=1; TR0=0; /控制燈的標(biāo)志位 break;switch(key1) /k2 case 0: if(s2=0) /按鍵2沒(méi)按 key1+; break; case 1: if(s2=0) key1+; else key1=0; break; case 2: if(s2) key1=0; if(flag=0) /flag=0表示調(diào)試結(jié)束,時(shí)鐘正在運(yùn)行 s2num+; /在定時(shí)狀態(tài)下,S2按下次數(shù) if(s2num=1) k1=hour; k2=min; if(s2num=2) s2num=1; hour+; else if(hour=24)/flag=1表示正在調(diào)時(shí) hour=0; else hour+; break; switch(key2) /k3 case 0: if(s3=0) key2+; break; case 1: if(s3=0) key2+; else key2=0; break; case 2: if(s3) key2=0; if(min=60) min=0;else min+; break; switch(key3) /k4 case 0: if(s4=0) key3+; break; case 1: if(s4=0) key3+; else

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論