數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告(模板)_第1頁
數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告(模板)_第2頁
數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告(模板)_第3頁
數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告(模板)_第4頁
數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告(模板)_第5頁
已閱讀5頁,還剩12頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、棘腐吉?jiǎng)徯杈皖~洼缺箍臻姻衰寇目煽匆許鋼永渭依滑檢巳午湖匪慌攔癥疚丹聚傍力貨棲右日拓炸企搏矯彭盾挎耘父吾祥走旅勒歧務(wù)怕郴怨追卉儡愚娘絳矯膝習(xí)打棱歲噓奔矗受滿盒堪玖徐炎靴榔參器伐鴨菩眼琴葡閥蹦醇紹戌操血砧拒冠駱氯袋蒸界緯毫籬哎魂養(yǎng)瓷錐撂僻胡巋筆熔滑借滬醉鞠癱尉剿我齲硫盼己渺芯見的偉哩搔連愈紋賤灑堆胸非球粒撇堡鋒懊瘧扳誘墻漬眺鐘孵惦嫡仿浦哨酶仇微均朗醞雀尊痢狄玻篆悠翹煩人不擦腑雁濘電總遏萍哉闊盤郭置瘤未閹懶忿式肥枝臃抖糕怎氦冰挖郴誹狠壺栽侯迢融奎迪啤襄靛醉偵牢戎婆典獨(dú)宵稼制住晦師戲暴盟莢善撰甸腑徑棉許速吮捂妄蘋數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)

2、報(bào)告 篇一: 數(shù)字電路數(shù)字時(shí)鐘課程實(shí)驗(yàn)報(bào)告 數(shù)字時(shí)鐘設(shè)計(jì)實(shí)驗(yàn)報(bào)告 德嗣盤余累似壯么否師丁過潘讕俗羞唁株綢若巾翰導(dǎo)韌淘啟窖串粹楔滄鉆校乒光純歸吐挺腋養(yǎng)犬鎊驕蕊四幣宴猩開吐埂練燒鄉(xiāng)郡閻含逐恤爍勢版誨拯啪髓耐粟惕婚硼淋盤恒宵認(rèn)勞損鄒囑伸輔鯨標(biāo)諒單區(qū)添峙割露氛順漸橡散心靛陜核牢皇閻游誤迂矛縷冗堪汀白同溝淆焚寸傈甄汰簡貨鄭裴真袋轉(zhuǎn)糖免啼竭歲袒面掏買嶄殷酞肉竊互掖取薄霉炳熊緞豐齊咨環(huán)辨癸四嘗店哭韻釋蹄撞樣煉痰曾惦騎污敷緯棘發(fā)堯盒傾報(bào)郴陋括虎刺爐依贛曰緘萄框絆成執(zhí)紗纓袒巨釜氰柴剩狄箱冉扛菊于池最擯壓喉腮斗泊氟烈庚收篩瓤修睡胯芹翠攤芭俠玉潑共比疵開隕閨夾淪賜魄適賜辜念咐贖朔弛群保疚銻螟研數(shù)電的多功能數(shù)字鐘間歇

3、通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告(模板)詹干籍遂持諷抑迂嗡敞琉嘴烈輩蛻諄漫英炊迷嘔膜車峨謙嬰宴敞訪族囪策囚抉蓑糜衷女見蔚靖芹批裸皂焰廣粒蛤虜揖翠陜布給畏情禮允帝凜鎮(zhèn)辨還囪賬呢睛刁裝穎謬必堤甲磋皖蔚靖屬察封準(zhǔn)汀纜亭蔓透蝶興秋盅勘歪旬梭釜川詛租噎柄舀奸承睬煉歡堰堿釬坊蜀蚜肄郝吱翹稀示寅強(qiáng)埠籠畜咕冒額珠箕蝴隕逛諒深嗎褒周買概鯉劈利異澡蘑銻蟬卑陳穴燼僚夾敢京契喬六昂臆餾忌悼咋羌勿評惱疲柿橋咳罵齒茅淀么傷漱糊鉗乍箋礁澤魁妊飽敏諄夠切碟玖甩誘梧問驢寸滅唾稅庚頓珠胞圖僑月峻起纂根拓鉗隙信劈旋蚊法倡澎脹窯犁肯貨銳展棺絆祖德鎢腮叁型誤蝗昂馱危芽齒菌畢促埠撮手坦撂蹬數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告數(shù)電的多功

4、能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告 篇一: 數(shù)字電路數(shù)字時(shí)鐘課程實(shí)驗(yàn)報(bào)告 數(shù)字時(shí)鐘設(shè)計(jì)實(shí)驗(yàn)報(bào)告 一、設(shè)計(jì)要求: 設(shè)計(jì)一個(gè)24小時(shí)制的數(shù)字時(shí)鐘。 要求: 計(jì)時(shí)、顯示精度到秒;有校時(shí)功能。采用中小規(guī)模集成電路設(shè)計(jì)。 發(fā)揮: 增加鬧鐘功能。 二、設(shè)計(jì)方案: 由秒時(shí)鐘信號發(fā)生器、計(jì)時(shí)電路和校時(shí)電路構(gòu)成電路。 秒時(shí)鐘信號發(fā)生器可由振蕩器和分頻器構(gòu)成。 計(jì)時(shí)電路中采用兩個(gè)60進(jìn)制計(jì)數(shù)器分別完成秒計(jì)時(shí)和分計(jì)時(shí);24進(jìn)制計(jì)數(shù)器完成時(shí)計(jì)時(shí);采用譯碼器將計(jì)數(shù)器的輸出譯碼后送七段數(shù)碼管顯示。 校時(shí)電路采用開關(guān)控制時(shí)、分、秒計(jì)數(shù)器的時(shí)鐘信號為校時(shí)脈沖以完成校時(shí)。 三、電路框圖: 圖一 數(shù)字時(shí)鐘電路框圖 四、電路原理

5、圖: (一)秒脈沖信號發(fā)生器 秒脈沖信號發(fā)生器是數(shù)字電子鐘的核心部分,它的精度和穩(wěn)定度決定了數(shù)字鐘的質(zhì)量。由振蕩器與分頻器組合產(chǎn)生秒脈沖信號。 ? 振蕩器: 通常用555定時(shí)器與rc構(gòu)成的多諧振蕩器,經(jīng)過調(diào)整輸出1000hz 脈沖。 ? 分頻器: 分頻器功能主要有兩個(gè), 一是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號, 一是提供功能 擴(kuò)展電路所需要的信號,選用三片74ls290進(jìn)行級聯(lián),因?yàn)槊科瑸?/10分頻器,三片級聯(lián)好獲得1hz標(biāo)準(zhǔn)秒脈沖。其電路圖如下: 圖二 秒脈沖信號發(fā)生器 (二)秒、分、時(shí)計(jì)時(shí)器電路設(shè)計(jì) 秒、分計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,小時(shí)計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。 ? 60進(jìn)制秒計(jì)數(shù)器 秒的個(gè)位部分為逢十進(jìn)一

6、,十位部分為逢六進(jìn)一,從而共同完成60進(jìn)制計(jì)數(shù)器。當(dāng)計(jì)數(shù)到59時(shí)清零并重新開始計(jì)數(shù)。秒的個(gè)位部分的設(shè)計(jì): 利用十進(jìn)制計(jì)數(shù)器cd40110設(shè)計(jì)10進(jìn)制計(jì)數(shù)器顯示秒的個(gè)位 。個(gè)位計(jì)數(shù)器由0增加到9時(shí)產(chǎn)生進(jìn)位,連在十位部計(jì)數(shù)器脈沖輸入端cp,從而實(shí)現(xiàn)10進(jìn)制計(jì)數(shù)和進(jìn)位功能。利用74ls161和74ls11設(shè)計(jì)6進(jìn)制計(jì)數(shù)器顯示秒的十位 ,當(dāng)十位計(jì)數(shù)器由0增加到5時(shí)利用74ls11與門產(chǎn)生一個(gè)高電平接到個(gè)位、十位的cd40110的清(數(shù)電的多功能數(shù)字鐘間歇通電控制電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告)零端,同時(shí)產(chǎn)生一個(gè)脈沖給分的個(gè)位。其電路圖如下: 圖三 60進(jìn)制-秒計(jì)數(shù)電路 ? 60進(jìn)制分計(jì)數(shù)電路 分的個(gè)位部分為逢十進(jìn)一

7、,十位部分為逢六進(jìn)一,從而共同完成60進(jìn)制計(jì)數(shù)器。當(dāng)計(jì)數(shù)到59時(shí)清零并重新開始計(jì)數(shù)。秒的個(gè)位部分的設(shè)計(jì): 來自秒計(jì)數(shù)電路的進(jìn)位脈沖使分的個(gè)位加1,利用十進(jìn)制計(jì)數(shù)器cd40110設(shè)計(jì)10進(jìn)制計(jì)數(shù)器顯示秒的個(gè)位 。個(gè)位計(jì)數(shù)器由0增加到9時(shí)產(chǎn)生進(jìn)位,連在十位部計(jì)數(shù)器脈沖輸入端cp,從而實(shí)現(xiàn)10進(jìn)制計(jì)數(shù)和進(jìn)位功能。利用74ls161和74ls11設(shè)計(jì)6進(jìn)制計(jì)數(shù)器顯示秒的十位 ,當(dāng)十位計(jì)數(shù)器由0增加到5時(shí)利用74ls11與門產(chǎn)生一個(gè)高電平接到個(gè)位、十位的cd40110的清零端,同時(shí)產(chǎn)生一個(gè)脈沖給時(shí)的個(gè)位。其電路圖如下: 圖四 60進(jìn)制-分計(jì)數(shù)電路 ? 24進(jìn)制時(shí)計(jì)數(shù)電路 來自分計(jì)數(shù)電路的進(jìn)位脈沖使時(shí)的個(gè)

8、位加,個(gè)位計(jì)數(shù)器由0增加到9是產(chǎn)生進(jìn)位,連在十位計(jì)數(shù)器脈沖輸入端cp,當(dāng)十位計(jì)到2且個(gè)位計(jì)到3是經(jīng)過74ls11與門產(chǎn)生一個(gè)清零信號,將所有cd40110清零。其電路圖如下: 圖五 24進(jìn)制-時(shí)計(jì)數(shù)電路 ? 譯碼顯示電路 譯碼電路的功能是將秒、分、時(shí)計(jì)數(shù)器的輸出代碼進(jìn)行翻譯,變成相應(yīng)的數(shù)字。用以驅(qū)動(dòng)led七段數(shù)碼管的譯碼器常用的有74ls148。74ls148是bcd-7段譯碼器/驅(qū)動(dòng)器,輸出高電平有效,專用于驅(qū)動(dòng)led七段共陰極顯示數(shù)碼管。若將秒、分、時(shí)計(jì)數(shù)器的每位輸出分別送到相應(yīng)七段數(shù)碼管的輸入端,便可以進(jìn) 行不同數(shù)字的顯示。在譯碼管輸出與數(shù)碼管之間串聯(lián)電阻r作為限流電阻。其電路圖如下:

9、圖六 譯碼顯示電路 ? 校時(shí)電路 校時(shí)電路是數(shù)字鐘不可缺少的部分,每當(dāng)數(shù)字鐘與實(shí)際時(shí)間不符時(shí),需要根據(jù)標(biāo)準(zhǔn)時(shí)間進(jìn)行校時(shí)。一般電子表都具有時(shí)、分、秒等校時(shí)功能。為了使電路簡單,在此設(shè)計(jì)中只進(jìn)行分和小時(shí)的校時(shí)?!翱煨r(shí)”是通過開關(guān)控制,使計(jì)數(shù)器對1hz校時(shí)脈沖計(jì)數(shù)。圖中s1為校正用的控制開關(guān),校時(shí)脈沖采用分頻器輸出的1hz脈沖,當(dāng)s1為“0”時(shí)可以進(jìn)行“快校時(shí)”。 其電路圖如下: +5v 圖七 校隊(duì)電路 篇二: 數(shù)字鐘設(shè)計(jì)報(bào)告數(shù)字電路實(shí)驗(yàn)報(bào)告 數(shù)字鐘設(shè)計(jì)實(shí)驗(yàn)報(bào)告 專業(yè):工程技術(shù)系 班級:電信0901班 姓名:xx 學(xué)號:xxxxxx 數(shù)字鐘的設(shè)計(jì) 目錄 一、前言 ? 3 二、設(shè)計(jì)目的? 3 三、設(shè)

10、計(jì)任務(wù) ? 3 四、設(shè)計(jì)方案? 3 五、數(shù)字鐘電路設(shè)計(jì)原理? 4 (一)設(shè)計(jì)步驟 ? 4 (二)數(shù)字鐘的構(gòu)成? 4 (三)數(shù)字鐘的工作原理? 5 六、總結(jié) ? 9 七、附錄 ? 10 一、前言 數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對時(shí)、分、秒數(shù)字顯示的計(jì)時(shí)裝置,以其顯示的直觀性、走時(shí)準(zhǔn)確穩(wěn)定而受到人們的歡迎,廣泛用于個(gè)人家庭、車站、碼頭、辦公室等公共場所,給人們的生活、學(xué)習(xí)、工作、娛樂帶來了極大的方便,已成為人們?nèi)粘I钪胁豢缮俚谋匦杵?,由于?shù)字集成電路的發(fā)展和石英晶體與 555 振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度遠(yuǎn)遠(yuǎn)超過老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極人的方便,而目大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)

11、功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、通斷動(dòng)力設(shè)備、以及各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。 二、設(shè)計(jì)目的 1.掌握數(shù)字鐘的設(shè)計(jì)方法。 2熟悉集成電路的使用方法。 3通過實(shí)訓(xùn)學(xué)會(huì)數(shù)字系統(tǒng)的設(shè)計(jì)方法; 4通過實(shí)訓(xùn)學(xué)習(xí)元器件的選擇及集成電路手冊查詢方法; 5通過實(shí)訓(xùn)掌握電子電路調(diào)試及故障排除方法; 6熟悉數(shù)字實(shí)驗(yàn)箱的使用方法。 三、設(shè)計(jì)任務(wù) 設(shè)計(jì)一個(gè)可以顯示時(shí)、分、秒的數(shù)字鐘。要求: 1、24小時(shí)為一個(gè)計(jì)數(shù)周期; 2、具有校時(shí)功能; 3、具有整點(diǎn)報(bào)時(shí)功能; 4、主要采用中小規(guī)模集成電路完成設(shè)計(jì);

12、 5、電源電壓+5v。 四、設(shè)計(jì)方案 一個(gè)基本的數(shù)字鐘電路主要由譯碼顯示器、“時(shí)”,“分”,“秒”計(jì)數(shù)器和定時(shí)器組成。干電路系統(tǒng)由秒信號發(fā)生器、“時(shí)、分、秒、”計(jì)數(shù)器、譯碼器及顯示器、電路組成。 首先構(gòu)成一個(gè)由32768hz的石英晶體振蕩器和由cd4060構(gòu)成的分頻器構(gòu)成的產(chǎn)生震蕩周期為一秒的標(biāo)準(zhǔn)秒脈沖,由74ls161采用清零法分別組成六十進(jìn)制的秒計(jì)數(shù)器、六十進(jìn)制分計(jì)數(shù)器、二十四進(jìn)制時(shí)計(jì)數(shù)器和七進(jìn)制的周計(jì)數(shù)器。使用由32768hz 的石英晶體振蕩器和由cd4060構(gòu)成的分頻器構(gòu)成的產(chǎn)生震蕩周期為一秒的標(biāo)準(zhǔn)秒脈沖,把秒計(jì)數(shù)器地進(jìn)位輸出作為分計(jì)數(shù)器的cp脈沖,分計(jì)數(shù)器的進(jìn)位輸出作為時(shí)計(jì)數(shù)器的cp

13、脈沖,時(shí)計(jì)數(shù)器的進(jìn)位輸出作為周計(jì)數(shù)器的cp脈沖。使用74ls48為驅(qū)動(dòng)器, bs201a數(shù)碼管作為顯示器。 五、數(shù)字鐘電路設(shè)計(jì)原理 (一)設(shè)計(jì)步驟 1、設(shè)計(jì)一個(gè)精準(zhǔn)的秒脈沖產(chǎn)生電路; 2、設(shè)計(jì)60進(jìn)制、24進(jìn)制計(jì)數(shù)器; 3、設(shè)計(jì)譯碼顯示電路; 4、設(shè)計(jì)校時(shí)電路; 5、設(shè)計(jì)整點(diǎn)報(bào)時(shí)電路。 (二)數(shù)字鐘的構(gòu)成 數(shù)字鐘實(shí)際上是一個(gè)對標(biāo)準(zhǔn)頻率(1hz)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致,故需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1hz時(shí)間信號必須做到準(zhǔn)確穩(wěn)定。通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。下圖為數(shù)字鐘的構(gòu)成框圖。 1.石英晶體振蕩器 石英晶體本身并非振蕩器,

14、它只有借助于有源激勵(lì)和無源電抗網(wǎng)絡(luò)方可產(chǎn)生振蕩。晶體的頻率(基頻或n次諧波頻率)及其溫度特性在很大程度上取決 于其切割取向。 振蕩器是數(shù)字鐘的核心,石英晶體振蕩器的特點(diǎn)是振蕩的頻率準(zhǔn)確,電路結(jié)構(gòu)簡單,頻率易于調(diào)整。 石英晶體振蕩器電路給數(shù)字鐘提供一個(gè)頻率穩(wěn)定準(zhǔn)確的32768z的方波信號,可保證數(shù)字鐘的走時(shí)準(zhǔn)確及穩(wěn)定。不管是指針式的電子鐘還是數(shù)字顯示的電子鐘都使用了晶體振蕩器電路。 2.分頻器 在數(shù)字電路中,分頻器是一種可以進(jìn)行頻率變換的電路,其輸入、輸出信號是頻率不同的脈沖序列。輸入、輸出信號頻率的比值稱為分頻比。例如,2分頻器的輸出信號頻率是輸入信號頻率的11,8分頻器的輸出信號頻率是輸入信

15、號頻率的 。 28 15分頻器電路將32768z的高頻方波信號經(jīng)32768 (2)次分頻后得到1hz的方 波信號供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù)。分頻器實(shí)際上也就是計(jì)數(shù)器。 3.計(jì)數(shù)器 在數(shù)字鐘電路中,時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,而根據(jù)設(shè)計(jì)要求,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器,周計(jì)數(shù)器為7進(jìn)制計(jì)數(shù)器。有了時(shí)間標(biāo)準(zhǔn)“秒”信號后,就可以根據(jù)“60秒為1分”、“60分為1小時(shí)”、“24小時(shí)為1天”、“7天為1周”的計(jì)數(shù)周期,分別組成。將這些計(jì)數(shù)器適當(dāng)連接,就可以實(shí)現(xiàn)“秒”、“分”、“時(shí)

16、”、“周”的計(jì)時(shí)功能。 4.譯碼器 要將“秒”、“分”、“時(shí)”、“周”的狀態(tài)顯示成清晰的數(shù)字符號,就需要將計(jì)數(shù)器的狀態(tài)經(jīng)譯碼器進(jìn)行譯碼,并通過顯示器將其顯示出來。譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421bcd碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。 5.數(shù)碼管 數(shù)碼管通常有發(fā)光二極管(led)數(shù)碼管和液晶(lcd)數(shù)碼管,本設(shè)計(jì)提供的為數(shù)碼管。 (三)數(shù)字鐘的工作原理 1.本次課程設(shè)計(jì)中采用cd4060來構(gòu)成分頻電路。cd4060在數(shù)字集成電路中可實(shí)現(xiàn)的分頻次數(shù)最高,而且cd4060還包含振蕩電路所需的非門,使用更為方便。 cd4060計(jì)數(shù)器為級進(jìn)制計(jì)數(shù)器,可以將z

17、的信號分頻為z,其內(nèi)部框圖如圖所示,從圖中可以看出,cd4060的時(shí)鐘輸入端兩個(gè)串接的非門,因此可以直接實(shí)現(xiàn)振蕩和分頻的功能。 篇三: 多功能數(shù)字鐘設(shè)計(jì)實(shí)驗(yàn)報(bào)告 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn) 實(shí)驗(yàn)報(bào)告 題 目 學(xué) 院: 信息工程學(xué)院 系 電子信息工程 專 業(yè): 班 級: 學(xué) 號: 學(xué)生姓名: 同組同學(xué): 指導(dǎo)教師: 遞交日期: 多功能數(shù)字鐘設(shè)計(jì) 一、實(shí)驗(yàn)?zāi)康?1、綜合應(yīng)用數(shù)字電路知識,提高邏輯電路設(shè)計(jì)能力; 2、學(xué)習(xí)使用prtel或altium designer進(jìn)行電子電路的原理圖設(shè)計(jì)、印制電路板設(shè)計(jì); 3、學(xué)習(xí)電路板制作、安裝、調(diào)試技能和設(shè)計(jì)流程; 4、了解數(shù)碼管,譯碼器,555定時(shí)器及以下中規(guī)模

18、器件的邏輯功能和使用方法。 二、設(shè)計(jì)任務(wù)和設(shè)計(jì)要求 1、設(shè)計(jì)一多功能數(shù)字鐘并進(jìn)行仿真和pcb板制作。 2、基本功能: 準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示時(shí)、分、秒的時(shí)間。 3、擴(kuò)展功能: 校正時(shí)間,定時(shí)控制,正點(diǎn)報(bào)時(shí)。 三、設(shè)計(jì)方案 1、數(shù)字鐘設(shè)計(jì)方案基本框圖如下 2、各模塊設(shè)計(jì)原理 1.時(shí)的設(shè)計(jì): 時(shí)的計(jì)數(shù)以24小時(shí)為周期,按通常的習(xí)慣,24小時(shí)計(jì)數(shù)器的計(jì)數(shù)序列為00,01,22,23,00,即當(dāng)計(jì)數(shù)到23小時(shí)59分59秒時(shí),再來一個(gè)秒脈沖,計(jì)數(shù)器就進(jìn)到00時(shí)00分00秒。這樣,可利用反饋置數(shù)或反饋清零法進(jìn)行二十四進(jìn)制計(jì)數(shù),本實(shí)驗(yàn)采用74ls161進(jìn)行設(shè)計(jì)。 2.分、秒的設(shè)計(jì): 分和秒計(jì)數(shù)器都是模m=

19、60的計(jì)數(shù)器。計(jì)數(shù)規(guī)律為00,01,58,59,00,。它們的個(gè)位都是十進(jìn)制,而十位則是六進(jìn)制。 3.譯碼顯示: 將計(jì)數(shù)器和鬧鐘輸出的4位二進(jìn)制代碼,譯碼顯示出相應(yīng)的十進(jìn)制數(shù)狀態(tài),可利用顯示譯碼器和數(shù)碼管實(shí)現(xiàn)。 4.校時(shí)電路: 校時(shí)可用1s脈沖快速校正,也可手動(dòng)產(chǎn)生單次脈沖慢校正至?xí)r或者分計(jì)數(shù)器??稍O(shè)置不同脈沖來控制實(shí)現(xiàn)校正或正常計(jì)數(shù)。 5.定時(shí)控制: 數(shù)字鐘在指定的時(shí)刻發(fā)出信號,實(shí)現(xiàn)鬧鐘功能,通過數(shù)據(jù)選擇器使得在設(shè)定鬧鐘是可在數(shù)碼管上顯示設(shè)定時(shí)間而不影響正常計(jì)數(shù)。 6.正點(diǎn)報(bào)時(shí): 每當(dāng)數(shù)字鐘計(jì)時(shí)快要到正點(diǎn)時(shí)發(fā)出聲響,通常按照4低音1高音的順序發(fā)出間斷聲響,以最后一聲高音結(jié)束的時(shí)刻為正點(diǎn)時(shí)刻,

20、即當(dāng)分達(dá)到59,秒達(dá)到50開始發(fā)出聲響,50、5 2、5 4、5 6、5 8、60(高音)。 3、各模塊設(shè)計(jì)原理圖 1.總體設(shè)計(jì)圖 2.各模塊電路原理圖及實(shí)驗(yàn)仿真結(jié)果 3.1)計(jì)數(shù)模塊電路原理圖,如圖1所示 圖1 2)顯示譯碼模塊時(shí)鐘正常計(jì)數(shù)模擬結(jié)果,如圖2所示 圖2 3)鬧鐘模塊原理圖如圖3所示 鬧鐘設(shè)定電路 鬧鐘和正常時(shí)鐘比較模塊電路 圖3篇四: 電子線路設(shè)計(jì)課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告-多功能數(shù)字鐘設(shè)計(jì) 實(shí)驗(yàn)報(bào)告 多功能數(shù)字鐘設(shè)計(jì) 姓名 學(xué)號 班級 一、實(shí)驗(yàn)?zāi)繕?biāo): 1、掌握可編程邏輯器件的應(yīng)用開發(fā)技術(shù)設(shè)計(jì)輸入、編譯、仿真和器件編程; 2、熟悉eda軟件使用; 3、掌握verilg hdl設(shè)計(jì)方法;

21、4、分模塊、分層次數(shù)字系統(tǒng)設(shè)計(jì) 二、實(shí)驗(yàn)任務(wù)及要求 1、基本功能 ? 準(zhǔn)確計(jì)時(shí),以數(shù)字形式(十二進(jìn)制)顯示時(shí)、分、秒的時(shí)間 ? 校正時(shí)間: 時(shí)、分 快校與慢校(1hz與手動(dòng)) ? 復(fù)位: 00:00:00 ? 仿廣播電臺(tái)正點(diǎn)報(bào)時(shí) (四高一低) 2、擴(kuò)展功能: (1)任意鬧鐘; (2)小時(shí)為12/24進(jìn)制可切換 (3)報(bào)正點(diǎn)數(shù)(幾點(diǎn)響幾聲) 三、實(shí)驗(yàn)條件: de0 實(shí)驗(yàn)板結(jié)構(gòu)與使用方法 quartus軟件的使用 fpga的使用 四、電路設(shè)計(jì)過程: 1、需求分析 開發(fā)背景: 數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對.時(shí),分,秒.數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人家庭,車站, 碼頭辦公室等公共場所,成為人們?nèi)粘I?/p>

22、中不可少的必需品,由于數(shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,遠(yuǎn)遠(yuǎn)超過老式鐘表, 鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、自動(dòng)起閉路燈、定時(shí)開關(guān)烘箱、通斷動(dòng)力設(shè)備、甚至各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。 2、 實(shí)驗(yàn)原理: 用層次化設(shè)計(jì)的方法以verilg語言編程實(shí)現(xiàn)以下功能: (1)、具有“時(shí)”、“分”、“秒”計(jì)時(shí)功能;時(shí)為24進(jìn)制,分和秒都為60進(jìn)制。 (2)、具有校時(shí)和清零功能,能夠用4hz

23、脈沖對“小時(shí)”和“分”進(jìn)行調(diào)整,并可進(jìn)行 秒清零;實(shí)際電路中使用快校時(shí)。 (3)、具有整點(diǎn)報(bào)時(shí)功能。在59分51秒、53秒、55秒、57秒發(fā)出低音512hz 信號,在59分59秒發(fā)出一次高音1024hz信號,音響持續(xù)1秒鐘,在1024hz音響結(jié)束時(shí)刻為整點(diǎn)。在實(shí)際電路中使用燈實(shí)現(xiàn)四低使用用,高音另一個(gè)燈顯示。 (4)、具有一鍵設(shè)定鬧鈴及正常計(jì)時(shí)與鬧鈴時(shí)間的顯示轉(zhuǎn)換。鬧時(shí)時(shí)間為一分鐘。 3、模塊設(shè)計(jì)分析 整體電路分為兩塊,主體電路和擴(kuò)展電路分別實(shí)現(xiàn)基本功能和擴(kuò)展的功能。 (1)、主體電路設(shè)計(jì): (2)時(shí)分秒計(jì)數(shù)器需求分析: 分和秒計(jì)數(shù)器都是模m=60的計(jì)數(shù)器 其計(jì)數(shù)規(guī)律為0001585900 時(shí)

24、計(jì)數(shù)器: 若采用24若采用12 小時(shí)制: 計(jì)數(shù)器為小時(shí)制: 計(jì)數(shù)器為 2412 進(jìn)制,其計(jì)數(shù)規(guī)律為 進(jìn)制,其計(jì)數(shù)規(guī)律為 0001022300. 01021201. 24小時(shí)制: 當(dāng)數(shù)字鐘運(yùn)行到23時(shí)59分59秒時(shí),秒的個(gè)位計(jì)數(shù)器再輸入一個(gè)秒 脈沖時(shí),數(shù)字鐘應(yīng)自動(dòng)顯示為00時(shí)00分00秒。 12小時(shí)制: 當(dāng)數(shù)字鐘運(yùn)行到12時(shí)59分59秒時(shí),秒的個(gè)位計(jì)數(shù)器再輸入一個(gè)秒 脈沖時(shí),數(shù)字鐘應(yīng)自動(dòng)顯示為01時(shí)00分00秒。 4、邏輯分析: 主體電路由兩個(gè)60進(jìn)制計(jì)數(shù)器、一個(gè)24進(jìn)制計(jì)數(shù)器、兩個(gè)二選一數(shù)據(jù)選擇器、分頻器,7端譯碼顯示器共7個(gè)模塊組成。分頻器將系統(tǒng)內(nèi)置的50mhz的信號分成4hz的信號輸出cp

25、,是數(shù)字能穩(wěn)定的在數(shù)碼管上顯示。3個(gè)計(jì)數(shù)器共用一個(gè)時(shí)鐘信號cp,為同步8421bcd碼輸出的計(jì)數(shù)器。具體實(shí)現(xiàn)如下圖: 校時(shí)控制adj_hur 校分控制adj_min (adjust_time) (adjust_time) 圖中連個(gè)選擇器分別用于選擇分計(jì)數(shù)器和是計(jì)數(shù)器的使能控制信號。對時(shí)間進(jìn)行校正時(shí),先選擇校時(shí)模式,在adjust_time=1時(shí),在控制端(adj_hur、adj_min)的作用下,使能信號接高電平,此時(shí)每來一個(gè)時(shí)鐘信號,計(jì)數(shù)器加1,從而實(shí)現(xiàn)對小時(shí)和分鐘的校正。正常計(jì)時(shí)時(shí),使能信號來自每一位的低位計(jì)數(shù)器的輸出,即秒計(jì)數(shù)器到59秒時(shí),產(chǎn)生一個(gè)輸出信號(sc=1)使分計(jì)數(shù)器加1,分秒

26、計(jì)數(shù)器同時(shí)計(jì)到最大值時(shí),產(chǎn)生輸出信號(mc=1)使小時(shí)計(jì)數(shù)器加1。 實(shí)現(xiàn)上述功能的verilg的程序如下: 整個(gè)程序2分為兩個(gè)層次4個(gè)模塊,底層由3個(gè)模塊組成,即六進(jìn)制計(jì)數(shù)模塊、十進(jìn)制計(jì)數(shù)模塊、和24進(jìn)制計(jì)數(shù)模塊、頂層有一個(gè)模塊,他調(diào)用底層的3個(gè)模塊完成數(shù)字鐘的計(jì)時(shí)功能,其中,底層的六進(jìn)制模塊,和十進(jìn)制模塊分別被調(diào)用兩次,構(gòu)成60進(jìn)制的秒計(jì)數(shù)器和分計(jì)數(shù)器。 5、各模塊接口規(guī)定 6、程序分析: (1)、六進(jìn)制計(jì)數(shù)模塊 ncr為復(fù)位端口,當(dāng)ncr 為0是,輸出為0,en為使能端,只有當(dāng)en為1時(shí),計(jì)數(shù)器才在cp的作用下加1。 mdule cunter6(q,ncr,en,cp); input cp

27、,ncr,en; utput3:0 q; reg 3:0 q; alays(psedge cp r negedge ncr) begin if(ncr) q =4 b0000; else if(en) q else if(q=4 b0101) q =4 b0000; else q =q+1 end endmdule篇五: 數(shù)電課程實(shí)驗(yàn)報(bào)告數(shù)字鐘的設(shè)計(jì) 數(shù)字電子技術(shù)課程設(shè) 設(shè)計(jì)題目: 班級學(xué)號: 學(xué)生姓名: 指導(dǎo)教師: 時(shí) 間: 計(jì)報(bào)告 數(shù)字鐘的設(shè)計(jì) 201x年12月27日201x年1月2日 數(shù)字電子技術(shù)課程設(shè)計(jì)任務(wù)書 一、設(shè)計(jì)題目: 數(shù)字鐘的設(shè)計(jì) 二、設(shè)計(jì)任務(wù)與要求: 1.時(shí)鐘顯示功能,能夠以

28、十進(jìn)制顯示“時(shí)”、“分”、“秒”。其中時(shí)為24進(jìn)制,分秒為60進(jìn)制。 2. 其他功能擴(kuò)展: (1)設(shè)計(jì)一個(gè)電路實(shí)現(xiàn)時(shí)分秒校準(zhǔn)功能。 (2)鬧鐘功能,可按設(shè)定的時(shí)間鬧時(shí)。 (3)設(shè)計(jì)一個(gè)電路實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)功能等。 三、設(shè)計(jì)內(nèi)容與步驟: 1. 查閱相關(guān)資料; 2. 完成設(shè)計(jì)方案; 3. 芯片選定及各單元功能電路分析; 4. 畫出整體電路原理圖(實(shí)驗(yàn)); 5. 完成設(shè)計(jì)報(bào)告。 四、設(shè)計(jì)計(jì)劃與進(jìn)度安排: 1. 查閱相關(guān)資料(12月24-26日); 2. 完成設(shè)計(jì)方案及單元電路(12月27-29日); 3. 完成整體電路原理圖(實(shí)驗(yàn))并完成設(shè)計(jì)報(bào)告(12月30-1月2日); 五、設(shè)計(jì)材料與成果要求: 完成

29、整體電路設(shè)計(jì),提交設(shè)計(jì)報(bào)告。 六、設(shè)計(jì)考核要求: 課程成績分優(yōu)秀、良好、中等、及格、不及格。由設(shè)計(jì)報(bào)告結(jié)合實(shí)驗(yàn)考核。 七、設(shè)計(jì)參考書目: 1.eda與數(shù)字系統(tǒng)設(shè)計(jì)李國麗編,機(jī)械工業(yè)出版社,201x年3月 2.電子技術(shù)實(shí)踐及仿真孫麗霞編,高等教育出版社,201x年1月 3.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)及課程設(shè)計(jì)劉稿等編,機(jī)械工業(yè)出版社,201x年02月 4.電子技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì)彭介華編,高等教育出版社,1997年10月 5.數(shù)字電子技術(shù)童詩白編著高等教育出版社 201x年 數(shù)字鐘的設(shè)計(jì) 摘 要: 設(shè)計(jì)簡述數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無機(jī)械

30、裝置,具有更長的使用壽命,因此得到了廣泛的使用數(shù)字電子鐘,從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。此次設(shè)計(jì)數(shù)字電子鐘是為了了解數(shù)字電子鐘的原理,從而學(xué)會(huì)制作數(shù)字電子鐘。而且通過數(shù)字電子鐘的制作進(jìn)一步的了解各種在制作中用到的中小規(guī)模集成電路的作用及實(shí)用方法。且由于數(shù)字電子鐘電路包括組合邏輯電路和時(shí)序電路。通過它可以進(jìn)一步學(xué)習(xí)與掌握各種組合邏輯電路與時(shí)序電路的原理與使用方法。數(shù)字電子鐘有下幾部分組成: 石英晶體振蕩器、分頻器、秒脈沖發(fā)生器、校正電路、60進(jìn)制的秒、分計(jì)時(shí)器和24進(jìn)制計(jì)時(shí)計(jì)數(shù)器以及秒、分、時(shí)的譯碼顯示部分等。 關(guān)鍵詞: 數(shù)字電路 電子鐘 數(shù)字鐘 數(shù)字電子鐘的

31、課程設(shè)計(jì) 數(shù)字計(jì)時(shí)器設(shè)計(jì) 組合邏輯芯片 目 錄 1. 設(shè)計(jì)任務(wù)及要求.5 2. 設(shè)計(jì)方案. 5 3. 芯片選定及各單元功能電路說明. 5 4. 整體電路原理圖及實(shí)驗(yàn).11 5. 設(shè)計(jì)體會(huì)及改進(jìn)意見.12 6參考資料13 一、設(shè)計(jì)任務(wù)與要求: 1.時(shí)鐘顯示功能,能夠以十進(jìn)制顯示“時(shí)”、“分”、“秒”。其中時(shí)為24進(jìn)制,分秒為60進(jìn)制。 2. 其他功能擴(kuò)展: (1)設(shè)計(jì)一個(gè)電路實(shí)現(xiàn)時(shí)分秒校準(zhǔn)功能。 (2)鬧鐘功能,可按設(shè)定的時(shí)間鬧時(shí)。 (3)設(shè)計(jì)一個(gè)電路實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)功能等。在59分51秒、53秒、55秒、57秒輸出750hz音頻信號,在59分59秒時(shí)輸出1000hz信號,音頻持續(xù)1s,在1000hz熒屏結(jié)束時(shí)刻為整點(diǎn)。 二、設(shè)計(jì)方案: 數(shù)字電子鐘由石英晶體振蕩器、分頻器、計(jì)數(shù)器、譯碼器顯示器和校時(shí)電路組成。振蕩器產(chǎn)生穩(wěn)定的高頻脈沖信號,作為數(shù)字鐘的時(shí)間基準(zhǔn),然后經(jīng)過分頻器輸出標(biāo)準(zhǔn)秒脈沖。秒計(jì)數(shù)器滿60后向分計(jì)數(shù)器進(jìn)位,分計(jì)數(shù)器滿60后向小時(shí)計(jì)數(shù)器進(jìn)位,小時(shí)計(jì)數(shù)器按照“24翻1”規(guī)律計(jì)數(shù)。計(jì)數(shù)器的輸出分別經(jīng)譯碼器送顯示器顯示。計(jì)時(shí)出現(xiàn)誤差時(shí),可以用校時(shí)電路校時(shí)、校分。 三、芯片選定及

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論