版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、eda技術(shù)應(yīng)用技術(shù)應(yīng)用課件課件一、項(xiàng)目描述一、項(xiàng)目描述n 掌握有限狀態(tài)機(jī)(finited state machine )的原理。n 了解交通燈控制系統(tǒng)的工作原理。n 采用狀態(tài)機(jī)的原理來設(shè)計(jì)交通燈控制系統(tǒng)。一、項(xiàng)目描述一、項(xiàng)目描述序號(hào)序號(hào)類別類別目目 標(biāo)標(biāo)一知識(shí)點(diǎn)1.掌握vhdl語言設(shè)計(jì)方法2.掌握有限狀態(tài)機(jī)的原理3.了解交通燈控制系統(tǒng)的工作原理二技能1.會(huì)利用狀態(tài)機(jī)原理進(jìn)行電路設(shè)計(jì)2.使用vhdl語言進(jìn)行eda設(shè)計(jì)3.quartus ii軟件的原理圖輸入設(shè)計(jì)方法4.能使用quartus ii軟件的對(duì)設(shè)計(jì)電路進(jìn)行功能仿真5.使用quartus ii軟件下載設(shè)計(jì)文件到學(xué)習(xí)開發(fā)板6.學(xué)習(xí)開發(fā)板的調(diào)試
2、三職業(yè)素養(yǎng)1.學(xué)生的溝通能力及團(tuán)隊(duì)協(xié)作精神2.良好的職業(yè)道德3.質(zhì)量、成本、安全、環(huán)保意識(shí)二、項(xiàng)目資訊二、項(xiàng)目資訊n 可編程邏輯器件概述n fpga與cpld n max ii器件介紹 n pld開發(fā)軟件n 有限狀態(tài)機(jī)原理介紹n 交通燈工作原理介紹(前四項(xiàng)參見專題課件相應(yīng)內(nèi)容) 三、項(xiàng)目分析三、項(xiàng)目分析n 實(shí)際設(shè)計(jì)中比較復(fù)雜的時(shí)序邏輯電路的設(shè)計(jì)通常采用有限狀態(tài)機(jī)實(shí)現(xiàn)。n 有限狀態(tài)機(jī)的特征:1)用有限個(gè)狀態(tài)概括系統(tǒng)整個(gè)工作過程;2)各狀態(tài)可根據(jù)條件進(jìn)行相互轉(zhuǎn)換;3)各狀態(tài)均有相應(yīng)輸出信號(hào);4)系統(tǒng)復(fù)位時(shí)指定具體初始狀態(tài)。三、項(xiàng)目分析三、項(xiàng)目分析n 根據(jù)是否具有外部輸入控制信號(hào),有限狀態(tài)機(jī)可以分為
3、: 1)米勒型(mealy)狀態(tài)機(jī),狀態(tài)的轉(zhuǎn)移由當(dāng)前狀態(tài)、時(shí)鐘與外部輸入控制信號(hào)共同控制; 2)摩爾型(moore)狀態(tài)機(jī),狀態(tài)的轉(zhuǎn)移只受當(dāng)前狀態(tài)和時(shí)鐘的控制。三、項(xiàng)目分析三、項(xiàng)目分析n 該狀態(tài)機(jī)共有3個(gè)狀態(tài)(s0、s1、s2),x為外部控制信號(hào),y為輸出控制信號(hào)。 三、項(xiàng)目分析三、項(xiàng)目分析library ieee;use ieee.std_logic_1164.all;entity mealy is port (x,clk:in bit; y:out bit);end mealy;architecture behave of mealy is type fmst is (s0,s1,s2);
4、 signal state:fmst;begin定義三個(gè)狀態(tài)定義實(shí)體三、項(xiàng)目分析三、項(xiàng)目分析p1: process(clk)begin if clkevent and clk=1then case state is when s0= if x=0 then state=s0; else state if x =0 then state=s0; else state if x =0 then state=s0; else state y if state=s2 then y=1; else y=0; end if; end case; end process p2;end behave;各狀態(tài)對(duì)
5、應(yīng)的輸出三、項(xiàng)目分析三、項(xiàng)目分析n 模仿設(shè)計(jì)案例設(shè)計(jì)雙向交通燈控制系統(tǒng);n 任務(wù)描述:任務(wù)描述: 請?jiān)O(shè)計(jì)一個(gè)雙向(南北向、東西向)交通燈系統(tǒng)控制系統(tǒng),控制實(shí)驗(yàn)板上6盞交通燈(東西向3盞,南北向3盞)協(xié)調(diào)工作,并用2個(gè)兩位數(shù)碼管實(shí)現(xiàn)兩個(gè)方向的倒計(jì)時(shí)顯示。規(guī)定南北向綠燈時(shí)間為30秒,紅燈時(shí)間為20秒,黃燈時(shí)間統(tǒng)一為3秒。n 要求:要求:1、利用有限狀態(tài)機(jī)進(jìn)行設(shè)計(jì)。2、在quartus ii平臺(tái)上,采用vhdl語言來進(jìn)行設(shè)計(jì)輸入并仿真驗(yàn)證。3、下載到eda學(xué)習(xí)開發(fā)板上進(jìn)行調(diào)試。n 目標(biāo):目標(biāo):1、掌握有限狀態(tài)機(jī)的特征和原理;2、了解交通燈控制系統(tǒng)的工作原理; 三、項(xiàng)目分析三、項(xiàng)目分析n 微機(jī)一臺(tái)(w
6、indows xp系統(tǒng)、安裝好quartus 7.2等相關(guān)軟件);n eda學(xué)習(xí)開發(fā)板一塊;n usb電源線一條;n usb下載線一條。三、項(xiàng)目分析三、項(xiàng)目分析 eda學(xué)習(xí)開發(fā)板采用的芯片為altera公司的epm1270t144c5型cpld,實(shí)驗(yàn)板上提供了豐富的外設(shè),除了常見的按鍵,led燈,數(shù)碼管和蜂鳴器外,還包括有液晶和兩個(gè)擴(kuò)展插口等,方便與實(shí)驗(yàn)子板想連接,拓展實(shí)驗(yàn)內(nèi)容。三、項(xiàng)目分析三、項(xiàng)目分析eda學(xué)習(xí)開發(fā)板(母板)雙向交通燈實(shí)驗(yàn)板(子板)三、項(xiàng)目分析三、項(xiàng)目分析功功 能能max ii output功功 能能max ii outputlcd接口接口lcd_i01121usb接口接口t
7、xd7lcd_i02120rxd8lcd_i03119usb_rxfn1lcd_i04118usb_wu2lcd_i05117usb_pwren3lcd_i06114usb_rdn142lcd_i07113usb_wr143lcd_i08112usb_txen144lcd_i09111usb_d0132lcd_i010110usb_d1133lcd_i011109usb_d2134lcd_i012108usb_d3137usb_d4138usb_d5139usb_d6140usb_d7141io3573io3674三、項(xiàng)目分析三、項(xiàng)目分析功功 能能max ii output功功 能能max i
8、i output擴(kuò)展接口擴(kuò)展接口j5io1107擴(kuò)展接口擴(kuò)展接口j6io1996io2106io2095io3105io2194io4104io2293io5103io2391io6102io2489io7101io2588io898io2687io997io2786io1066io2885io1163io2984io1262io3081io1360io3180io1459io3279io1558io3378io1657io3477io1755io3576io1853io3675三、項(xiàng)目分析三、項(xiàng)目分析功功 能能max ii output功功 能能max ii output按鍵開關(guān)按鍵開關(guān)ke
9、y142七段數(shù)碼管七段數(shù)碼管7seg1_a23key2437seg1_b21key3447seg1_c29key4457seg1_d27key5487seg1_e28key6497seg1_f22key7507seg1_g24key8517seg2_a15撥動(dòng)開關(guān)撥動(dòng)開關(guān)sw1307seg2_b20sw2317seg2_c11sw3327seg2_d13sw4377seg2_e12sw5387seg2_f16sw6397seg2_g14sw740led燈燈led1131sw841led2130led3129led4127led5125led6124led7123led8122四、項(xiàng)目實(shí)施四、項(xiàng)
10、目實(shí)施n 設(shè)計(jì)一個(gè)單方向交通燈控制系統(tǒng);n 設(shè)計(jì)指標(biāo): 1)控制紅黃綠三盞燈交替工作,數(shù)碼管顯示倒計(jì)時(shí)時(shí)間;2)綠燈時(shí)間為30秒(s0),黃燈時(shí)間為3秒(s1) ,紅燈時(shí)間為20秒(s2) ; 3)能通過按鍵復(fù)位;四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 分頻模塊:對(duì)外部時(shí)鐘信號(hào)進(jìn)行分頻得到1hz信號(hào);n 系統(tǒng)控制模塊:控制交通燈狀態(tài)的轉(zhuǎn)換,并輸出相應(yīng)控制信號(hào);n 顯示模塊:控制數(shù)碼管及發(fā)光二極管;四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 外部輸入脈沖為12mhz,分頻后輸出信號(hào)為1hz。(分頻模塊設(shè)計(jì)詳見專題課件)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 系統(tǒng)控制模塊由倒計(jì)時(shí)模塊和狀態(tài)機(jī)模塊構(gòu)成;n 倒計(jì)時(shí)模塊主要實(shí)現(xiàn)數(shù)碼管倒計(jì)時(shí)信
11、號(hào)的控制;n 狀態(tài)機(jī)模塊主要實(shí)現(xiàn)交通燈各狀態(tài)間的轉(zhuǎn)換。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity sm isport(clk: in std_logic; cr: in std_logic; lamp: out std_logic_vector(2 downto 0); ledm: out std_logic_vector(3 downto 0); leds: out std_logic_vecto
12、r(3 downto 0) );end sm;庫文件定義實(shí)體四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施architecture behavioral of sm istype states is (s2,s1,s0);signal state: states:=s0;signal next_state: states:=s0;signal sec: std_logic_vector(3 downto 0);signal min: std_logic_vector(3 downto 0);signal datas: std_logic_vector(3 downto 0);signal datam: std_lo
13、gic_vector(3 downto 0);signal light: std_logic_vector(2 downto 0);signal load: std_logic;begin定義狀態(tài)定義信號(hào)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施p1:process(clk,cr,load) begin if cr=0 thensec=0000;min=0000; elsif rising_edge(clk)thenif load=1 thensec=datas;min=datam;elseif sec=0000 thensec=1001;elsesec=sec-1;end if;if sec=0000 the
14、nmin=min-1;end if;end if; end if; end process p1;倒計(jì)時(shí)結(jié)束轉(zhuǎn)為下一狀態(tài)倒計(jì)時(shí)結(jié)束為倒計(jì)時(shí)模塊賦初值倒計(jì)時(shí)程序四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施p2:process(clk)beginif (falling_edge(clk) thenif(sec=0000) and (min=0000)thenload=1;state=next_state;elseload light=100; -green 30snext_state=s1; -next yellowdatam=0010;datas light=010; -yellow 3snext_state=s2
15、; -next reddatam=0000;datas light=001; -red 20snext_state=s0; -next greendatam=0001;datas=“1001;end case;end process p3;lamp=light;ledm=min;leds=sec;end behavioral;東西向綠燈(s0)東西向黃燈(s1)東西向綠燈(s2)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 數(shù)碼管采用靜態(tài)顯示模式,該模塊功能即是將輸入的8421bcd碼轉(zhuǎn)換為7段數(shù)碼管控制信號(hào)。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施library ieee;use ieee.std_logic_1164.al
16、l;entity led isport( data_in : in std_logic_vector (3 downto 0); -輸入輸入8421bcd8421bcd碼碼 seg_out : out std_logic_vector (6 downto 0) -輸出數(shù)碼管段位碼輸出數(shù)碼管段位碼);end led;architecture bin27seg of led isbegin四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施process(data_in)begin seg_out 0); case data_in iswhen 0000 = seg_out seg_out seg_out seg_out s
17、eg_out seg_out seg_out seg_out seg_out seg_out null; end case;end process;end bin27seg;顯示譯碼程序四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施輸入、輸出端輸入、輸出端管腳號(hào)管腳號(hào)功能功能clk1812m晶振輸入晶振輸入key42按鍵按鍵1lamp2131led1lamp1130led2lamp0129led3ledm6147seg2_gledm5167seg2_fledm4127seg2_eledm3137seg2_dledm2117seg2_cledm1207seg2_bledm0157seg2_al
18、eds6247seg1_gleds5227seg1_fleds4287seg1_eleds3277seg1_dleds2297seg1_cleds1217seg1_bleds0237seg1_a依次代表綠、黃、紅燈依次代表綠、黃、紅燈對(duì)應(yīng)兩位數(shù)碼管的十位對(duì)應(yīng)兩位數(shù)碼管的十位對(duì)應(yīng)兩位數(shù)碼管的個(gè)位對(duì)應(yīng)兩位數(shù)碼管的個(gè)位四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 交通燈通常有東、西、南、北四個(gè)方向,其中東西方向完全一致,南北方向也完全一致,因此只需兩個(gè)控制器來控制;n 每組交通燈共有紅、黃、綠三盞燈和數(shù)碼管組成,按綠燈黃燈紅燈綠燈順序變化,并通過數(shù)碼管顯示倒計(jì)時(shí)時(shí)間;n 交通燈控制系統(tǒng)可簡單看
19、作摩爾型狀態(tài)機(jī),可分為4種不同狀態(tài),詳見下表;四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施狀 態(tài)東 西 方 向南 北 方 向綠 黃 紅綠 黃 紅11 0 00 0 120 1 00 0 130 0 11 0 040 0 10 1 0四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施 交通燈時(shí)間分配: 1)東西向綠燈時(shí)間30秒,黃燈3秒,紅燈20秒; 2)南北向紅燈時(shí)間33秒,黃燈3秒,綠燈17秒。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施 交通燈狀態(tài): 1)東西向綠燈(s0); 2)東西向黃燈(s1); 3)南北向綠燈(s2); 4)南北向黃燈(s3)。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施library ieee;use ieee.std_logic_1164.all
20、;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity sm4 isport(clk: in std_logic; -時(shí)鐘信號(hào)時(shí)鐘信號(hào) cr: in std_logic; -復(fù)位信號(hào)復(fù)位信號(hào) lamp: out std_logic_vector(5 downto 0); -紅黃綠燈信號(hào)紅黃綠燈信號(hào) weled1: out std_logic_vector(3 downto 0); -東西向倒計(jì)時(shí)十位信號(hào)東西向倒計(jì)時(shí)十位信號(hào) weled0: out std_logic_vector(3 downto 0); -東西
21、向倒計(jì)時(shí)個(gè)位信號(hào)東西向倒計(jì)時(shí)個(gè)位信號(hào) nsled1: out std_logic_vector(3 downto 0); -南北向倒計(jì)時(shí)十位信號(hào)南北向倒計(jì)時(shí)十位信號(hào) nsled0: out std_logic_vector(3 downto 0) -南北向倒計(jì)時(shí)個(gè)位信號(hào)南北向倒計(jì)時(shí)個(gè)位信號(hào) );end sm4;庫文件定義實(shí)體四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施architecture behavioral of sm4 istype states is(s3,s2,s1,s0);signal state: states:=s0;signal next_state: states:=s0;signal co
22、unt0:std_logic_vector(3 downto 0);signal count1:std_logic_vector(3 downto 0);signal cdata0: std_logic_vector(3 downto 0);signal cdata1: std_logic_vector(3 downto 0);signal wesec0: std_logic_vector(3 downto 0);signal wesec1: std_logic_vector(3 downto 0);signal wedata0: std_logic_vector(3 downto 0);si
23、gnal wedata1: std_logic_vector(3 downto 0);signal nssec0: std_logic_vector(3 downto 0);signal nssec1: std_logic_vector(3 downto 0);signal nsdata0: std_logic_vector(3 downto 0);signal nsdata1: std_logic_vector(3 downto 0);signal light: std_logic_vector(5 downto 0);signal load:std_logic;begin定義狀態(tài)定義信號(hào)四
24、、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施p1: process(clk,cr,load)beginif cr=0 thencount0=0000;count1=0000;elsif rising_edge(clk)thenif load=1thencount0=cdata0;count1=cdata1;elseif count0=0000 then count0=1001;elsecount0=count0-1;end if;if count0=0000thencount1=count1-1;end if;end if;end if;end process p1;倒計(jì)時(shí)器復(fù)位倒計(jì)時(shí)結(jié)束為倒計(jì)時(shí)模塊賦初值倒計(jì)時(shí)程
25、序四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施p2: process(clk)beginif(falling_edge(clk)thenif(count0=0000)and(count1=0000)thenload=1;state=next_state;elseloadlight=100001;next_state=s1;cdata1=0010;cdata0light=010001;next_state=s2;cdata1=0000;cdata0light=001100;next_state=s3;cdata1=0001;cdata0light=001010;next_state=s0;cdata1=0000;c
26、data0 wedata1=0010;wedata0=1001; nsdata1=0011;nsdata0 wedata1=0000;wedata0 wedata1=0001;wedata0=1001; nsdata1=0001;nsdata0 nsdata1=0000;nsdata0=0010;end case;end process p4;根據(jù)不同狀態(tài)為兩個(gè)方向的倒計(jì)時(shí)計(jì)數(shù)器賦初值東西向綠燈(s0)東西向黃燈(s1)南北向綠燈(s2)南北向黃燈(s3)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施p5: process(clk,load,state)beginif rising_edge(clk)thenif
27、(load=1)and(not(state=s3)thenwesec0=wedata0;wesec1=wedata1;elseif wesec0=0000 thenwesec0=1001;elsewesec0=wesec0-1;end if;if wesec0=0000thenwesec1=wesec1-1;end if;end if;end if;end process p5;東西向交通燈倒計(jì)時(shí)器四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施p6: process(clk,load)beginif rising_edge(clk)thenif (load=1)and (not(state=s1)thennssec
28、0=nsdata0;nssec1=nsdata1;elseif nssec0=0000 thennssec0=1001;elsenssec0=nssec0-1;end if;if nssec0=0000thennssec1=nssec1-1;end if;end if;end if;end process p6;南北向交通燈倒計(jì)時(shí)器四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施lamp=light;weled1=wesec1;weled0=wesec0;nsled1=nssec1;nsled0=nssec0;end behavioral;將寄存器信號(hào)賦給輸出結(jié)束結(jié)構(gòu)體四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施五、項(xiàng)目評(píng)價(jià)與總結(jié)提高五、項(xiàng)目評(píng)價(jià)與總結(jié)提高 考核點(diǎn)及占項(xiàng)目考核
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024房地產(chǎn)融資合同樣本
- 《激光光譜技術(shù)簡介》課件
- 發(fā)動(dòng)機(jī)潤滑系統(tǒng)的設(shè)計(jì)與性能分析考核試卷
- 《健美增肌與營養(yǎng)》課件
- 內(nèi)陸?zhàn)B殖產(chǎn)業(yè)鏈建設(shè)與升級(jí)考核試卷
- 蘇州科技大學(xué)天平學(xué)院《器樂名作與演奏賞析》2021-2022學(xué)年第一學(xué)期期末試卷
- 如何運(yùn)用新媒體和社交媒體進(jìn)行營銷考核試卷
- 蘇州科技大學(xué)天平學(xué)院《鋼琴基礎(chǔ)理論》2021-2022學(xué)年第一學(xué)期期末試卷
- 大班安全防搶劫
- 2024業(yè)主簽裝修合同時(shí)應(yīng)注意的事項(xiàng)
- 三年級(jí)上冊語文 第五單元《交流平臺(tái)與初試身手》教學(xué)課件
- “楓橋經(jīng)驗(yàn)”課件
- 第15課 列強(qiáng)入侵與中國人民的反抗斗爭 教學(xué)設(shè)計(jì)-2023-2024學(xué)年中職高一上學(xué)期高教版(2023)中國歷史全一冊
- 2024年廣西玉林北流市鎮(zhèn)街道社區(qū)殘疾人專職委員招聘筆試沖刺題(帶答案解析)
- 【管道滑脫應(yīng)急預(yù)案腳本】管道滑脫應(yīng)急預(yù)案演練
- 2024年?duì)I養(yǎng)指導(dǎo)員理論知識(shí)考試題庫及答案
- 2024年四川成都青白江蓉歐園區(qū)運(yùn)營管理有限公司招聘筆試參考題庫含答案解析
- 醫(yī)院崗前培訓(xùn)實(shí)施方案
- 人教版小學(xué)數(shù)學(xué)5年級(jí)教師用書
- 《1+X幼兒照護(hù)(中級(jí))》課件-6.1.身高的測量與評(píng)估
- 團(tuán)隊(duì)協(xié)作與沖突解決技巧訓(xùn)練
評(píng)論
0/150
提交評(píng)論