版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、PR :1。 不通過ECO的方式,后端工具可以直接在P&R的過程中添加Physical only的CELL嗎?比如CORNER cell, Filler cell. 在輸出的網(wǎng)表里會(huì)有這些CELL的信息嗎?可以,create_cell2。 Tie High/Tie Low CELL的作用是什么?電壓鉗位單元,因?yàn)閿?shù)字電路某些信號端口或者閑置的信號端口需要鉗位在固定邏輯電平上,這樣通過tie high與vdd相連或者tie low 與vss相連,使其維持于固定電位上。3。 Floorplan時(shí),擺放IP要注意哪些問題? 4。 PG ring/PG strape的用途是什么?如何規(guī)劃?PG
2、ring是指為了均勻供電,包圍在標(biāo)準(zhǔn)單元周圍的環(huán)形金屬,是供電IO單元和標(biāo)準(zhǔn)單元連接電源環(huán)的橋梁。供電IO單元通過金屬連接電源環(huán),標(biāo)準(zhǔn)單元通過followpins連接到電源。電源環(huán)主要三個(gè)參數(shù)來規(guī)劃:電源環(huán)的寬度w,電源環(huán)的間距d和電源環(huán)的對數(shù)n,間距d根據(jù)廠家給出的最小的間距決定,一般為其兩倍左右。電源條線PG strape,芯片內(nèi)部縱橫的電源線路5。 High fanout的優(yōu)化是在PR的什么步驟中完成的?為什么要處理high fanout?CTS 可能產(chǎn)生timing的問題和congestionPlace reset 信號 buffer等6。 IR DROP過大,有哪些方法可以解決?為了
3、減少 IR Drop,主要是減少電源網(wǎng)絡(luò)的電阻,實(shí)際設(shè)計(jì)中的的主要方法就是 Power Grid,即網(wǎng)格狀的橫的和豎的金屬層(Power Strap)。這些 Power Grid 同樣也同 Power Ring 相連,從而減少了整個(gè)電源網(wǎng)絡(luò)的電阻。問題是,這個(gè) Power Grid的密度和Power Strap的寬度該如何確定。就密度而言,自然是夠用即可,從而節(jié)省布線資源。就寬度而言,考慮的主要是電流密度的影響。電流密度過大會(huì)導(dǎo)致金屬層失效。減少電流密度的方法是加寬金屬。7。 可以用什么方法在PR早期避免繞線擁塞的問題?congestion說明走線太多,要把那一塊的cell推開一些; 避免使用
4、端口太多(>6個(gè))太密的組合邏輯單元; 不要把單元放在M2的power mesh下; macro邊上不要放單元; 使用congestion driven的place和opt; 遇到十分嚴(yán)重的congestion時(shí),減小global route可以使用的資源,比如在encounter里面可以讓trail route在若干條走線后,空出一根走線。8。 lib文件中都有哪些內(nèi)容?The Liberty (.lib) files are ASCII-format files that fully describe the cell logic, timing, and power charact
5、eristics of the leaf-level logic cells.9。 SDC文件中一般定義些什么?寫出相關(guān)的命令。每個(gè)時(shí)鐘信號的定義,每個(gè)IO端口的輸入輸出延遲。set_clock_latency set_clock_uncertainty set_clock_transition10。 為什么要做CTS?時(shí)鐘信號時(shí)芯片數(shù)據(jù)傳輸?shù)幕鶞?zhǔn),對于同步數(shù)字系統(tǒng)的功能、性能和穩(wěn)定性起決定性作用,用時(shí)鐘網(wǎng)絡(luò)來驅(qū)動(dòng)電路所有的時(shí)序單元,時(shí)鐘網(wǎng)絡(luò)信號通常是扇出最大、運(yùn)行距離最長、運(yùn)行速度最高的信號。做CTS就是為了保證每個(gè)信號都在時(shí)鐘控制下有序的傳遞。11。 加CAP FILLER的目的是什么?C
6、ap有endcap和decap兩種,不知道這個(gè)是問的那個(gè),如果是endcap,是填充拐角處IO單元的空隙,使他們形成電源和地的環(huán)狀網(wǎng)絡(luò)。但有時(shí)endcap可以為其提供一個(gè)去耦作用就是decap,?12. ANTENNA 一般的解決方法有哪些?Plasma刻蝕工藝和離子注入過程中,在柵極積累大量的電荷,可能會(huì)放電擊穿柵極。一般解決是連通二極管或者跳轉(zhuǎn)到上一層金屬連通柵極。13. Pin和 port有什么區(qū)別?Pad:端口的物理名稱,包括一些IO的物理模塊,比如引腳,三態(tài)控制單元及三態(tài)電路等Port:端口,不見的是器件的最外層,可以是內(nèi)部模塊的端口Pin:一般指具體器件的最外部引腳,一般跟位置聯(lián)系
7、較大,比如A20位置等等 pin 是單個(gè)的. 如一個(gè)IC有32pin,那么就是32個(gè)引腳.port并非單個(gè)引腳. 如: USB port,那是4或5個(gè)引腳. 再來8bit的單片機(jī),port A那是有8個(gè)引腳14Operating_condition 指的是什么?在pre_cts之前,bc、wc或者ocv,MCMM會(huì)使用進(jìn)行時(shí)序分析。是不是還有PVT?The operating conditions of a design include the process, voltage, and temperatureparameters under which the chip is intende
8、d to operateug p103頁15 BC_WC 和 OCV 這兩種時(shí)序分析類型有什么不同?Best case和worst case 分別指的是最佳和最差的情況,為CMOS中的NMOS/PMOS工作的PTV工藝角的條件,OCV,片上誤差,指在同一芯片下由于制造工藝的原因引起的偏差,表現(xiàn)為到兩個(gè)FF的時(shí)鐘路徑,本應(yīng)該完全相同,卻出現(xiàn)差異bc-wc應(yīng)該和MCMM放在一起討論,作為90nm以前工藝,由于工藝的線性特征比較好,可以只看兩個(gè)corner,其實(shí)是個(gè)省事但不嚴(yán)謹(jǐn)?shù)淖龇ǎF(xiàn)在到90nm以下,沒辦法了,各個(gè)corner的setup/hold 都可能有問題,很難說看了那個(gè)另外幾個(gè)可以不看的
9、情況,所以就只能MCMM咯,所以MCMM也不是什么新技術(shù),只是回歸正道而已。至于OCV,其實(shí)我一直覺得是一個(gè)霸道的設(shè)置,直接用設(shè)derate value的方式來假定每一條path都會(huì)出現(xiàn)片上偏差,其實(shí)是很悲觀的。造成片上偏差,大概幾個(gè)原因:工藝偏差(這個(gè)很難準(zhǔn)確估計(jì)),電壓偏差(就是ir-drop),噪聲偏差(就是SI)。目前的流程SI分析已經(jīng)用得很廣了,其實(shí)把IR-drop對timing造成的影響做反標(biāo)的技術(shù)也成熟,不過好像用得不多,再就是所謂的Area-based的OCV,不過好像用得也很少。不過傳統(tǒng)OCV的做法太悲觀,估計(jì)會(huì)慢慢被新的分析方法代替。結(jié)果是不一樣的,slew選擇不同,bc_
10、wc模式下,setup用max slew,hold用min slewocv模式下,setup的launch path用 max slew,capture用min slew,hold反之除了slew選擇不同外,不知道是否其他的還有不同?derating和OCV沒有必然聯(lián)系,完全是兩個(gè)概念bc_wc模式下也可以deratig16 SPEF文件中的內(nèi)容是什么?標(biāo)準(zhǔn)寄生交換格式,用于寄生參數(shù)提取。包括RC提取結(jié)果(SPF),以及電感L和轉(zhuǎn)換時(shí)間slew.17 GDS 文件的內(nèi)容是什么?標(biāo)準(zhǔn)版圖描述語言,用二進(jìn)制的格式記錄版圖的幾何圖形、拓?fù)潢P(guān)系、結(jié)構(gòu)層級及信息。18 什么是Internal power
11、 / short power/ leakage power/ switch power ?Internal power:在動(dòng)態(tài)功耗中,當(dāng)NMOS和PMOS都處于開啟狀態(tài)時(shí),造成短路電流,引起內(nèi)部功耗,以及由于對內(nèi)部電容的充放電效應(yīng)引起的功耗。Short power: CMOS短路電流引起的功耗Leakage power:泄露電流引起的功耗,靜態(tài)功耗,在此時(shí)電路處于開啟狀態(tài),但整個(gè)電路沒有邏輯電平的變化產(chǎn)生。Switch power:輸出端門電路上電容的充放電引起的功耗。19 在做Memory Compilier的時(shí)候,如何決定ring的寬度?20 Inline IO 和 Stagger IO有
12、什么區(qū)別?前者是平行排列的IO(IO數(shù)目不受core面積制約),環(huán)繞chip core的只有一圈;后者是鋸齒交錯(cuò)排列的IO(IO數(shù)目較多而core面積有限),環(huán)繞chip core的有兩圈。stagger和in-line是封裝的兩種形式,通常in-line只是一排排列,這樣對于core-limit的design, 可以節(jié)省面積,stagger也可以用于一排排列的封裝,但多數(shù)用于pad-limit的design,這樣pad交替排成兩排,可以節(jié)省IO的面積,從而使core面積也小些pad文檔,stagger用于pad limit,pad太多的情況inline用于 core limit, pad少,
13、core大總體都是為了減小chip size這個(gè)問題很基本啊。stagger和liner的區(qū)別你可以關(guān)注pad位置,到底是交疊還是線性都看pad的排列。兩者的使用區(qū)別主要是看是內(nèi)核限制面積 還是io限制面積,至于電路,你放心,都是一樣的。不過stagger的寬(wide)一般是liner的寬的一半,長一般是liner的兩倍,而且 stagger的io單元layout單獨(dú)打開不包含pad,它的pad有兩種,一種是long,一種是short。21 解釋什么是latch-up.在CMOS中,由于存在著npn和pnp的雙極性晶體管,又由于阱區(qū)和襯底寄生電阻的存在而形成一個(gè)正反饋放大回路,其兩個(gè)晶體管的
14、電流增益乘積大于1,預(yù)防是增加well tap 和保護(hù)環(huán)。22 解釋什么是 ESD。Electro static discharge.靜電釋放。靜電荷的存在而在晶體管上可能引起的放電現(xiàn)象。不同靜電位的物體由于直接接觸或者靜電感應(yīng)而引起的靜電荷的移動(dòng)。 23解釋什么是 setup time/hold time/ recovery/removal timing check。Setup time:在clk的上升沿到來之前,數(shù)據(jù)必須保持穩(wěn)定的最短時(shí)間,若不滿足,則數(shù)據(jù)就無法敲進(jìn)寄存器。Hold time:在clk的上升沿到來之后,數(shù)據(jù)必須穩(wěn)定的最短時(shí)間,recovery/removal timing
15、check:Does Timing Analyzer perform any Recovery or Removal Checks in addition to Set up and Hold Checks?SolutionThe support of recovery and removal analysis has been included in the 9.1i and newer Timing Analyzer software.Removal Timing Check: A removal timing check ensures that there is adequate ti
16、me between an active clock edge and the release of an asynchronous control signal. The check ensures that the active clock edge has no effect because the asynchronous control signal remains active until removal time after the active clock edge. In other words, the asynchronous control signal is rele
17、ased (becomes inactive) well after the active clock edge so that the clock edge can have no effect.Recovery Timing Check:A recovery timing check ensures that there is a minimum amount of time between the asynchronous signal becoming inactive and the next active clock edge. In other words, this check
18、 ensures that after the asynchronous(異步) signal becomes inactive, there is adequate time to recover so that the next active clock edge can be effective. For example, consider the time between an asynchronous reset becoming inactive and the clock active edge of a flip-flop. If the active clock edge o
19、ccurs too soon after the release of reset, the state of the flip-flop may be unknown.Both of these checks are meaningful on the desertion edge of an asynchronous reset.主要是針對異步復(fù)位的觸發(fā)器而言哈!類似于clk的setup和hold,是指復(fù)位信號到來先于時(shí)鐘觸發(fā),和復(fù)位應(yīng)該在時(shí)鐘觸發(fā)后穩(wěn)定一段時(shí)間。分別對應(yīng)了recovery和removal的概念。24Clock uncertainty指的是什么?也是時(shí)鐘抖動(dòng),定義為時(shí)鐘信號
20、時(shí)間與理想事件時(shí)間的偏差。計(jì)算方式:1) pre-CTS,setup的clock uncertainty = PLL jitter + clock tree skewhold的clock uncertainty = clock tree skew2) post-CTS,setup的clock uncertainty = PLL jitterhold的clock uncertainty = 025在lvs runset文件中, LVS BOX是什么意思?26。 解釋什么是 Level shifter / isolation cell.Level shifter:電平轉(zhuǎn)換單元,指的是邏輯電平從一個(gè)
21、電源域到另一個(gè)時(shí)需要添加的邏輯單元。主要是將邏輯信號從一個(gè)電源域傳到另一個(gè)電源域。Isolation cell:當(dāng)邏輯信號從電源關(guān)斷到開啟的電源域時(shí),需要的邏輯單元。在輸入輸出都開啟時(shí),充當(dāng)緩沖單元。在輸入信號是電源關(guān)斷狀態(tài)時(shí),為輸出提供恒定的輸出量。ICC usage:1。 set_pad_physical_constraints -pad_name -side -order中-side和-order按照什么方向和順序指定的?-side side_numberSpecifies the die edge on which the pad must reside. Pads aresuppor
22、ted for rectangular floorplans only. The valid values forthis option areo 0 (default - no side constraints)o 1 (left side constraint)o 2 (top side constraint)o 3 (right side constraint)o 4 (bottom side constraint)The default is 0, which means that the pad does not have a sideconstraint.-order order_
23、numberSpecifies the placement order number for the pad. The placementorder is a clockwise ordering constraint for the left and topsides. The placement order is a counter clockwise ordering con-straint for the right and bottom sides. The order argument mustbe a positive integer.The default is 0, whic
24、h means that the pad does not have anordering constraint.2。 Hold timing violation 一般是在什么階段修正?CTS 3。 derive_pg_connection的作用是什么?如何斷開已有的PG connection?將電源、地和下拉(tie_low)pins連接到指定的電源和地的網(wǎng)絡(luò)上。Remove_pg_connection4 在做CTS之前,一般要做哪些Clock Tree的設(shè)置?(定義時(shí)鐘樹,預(yù)防時(shí)鐘源定義在hierarchical pin,設(shè)置命令:cts_enable_clock_at_hierarchi
25、cal_pin false,在create_clock命令之前 定義時(shí)鐘樹終點(diǎn),)設(shè)置公共時(shí)鐘樹選項(xiàng)5。 解釋一下set min_library和 set link_library。the set_min_library command creates a minimum/maximum relationshipbetween two library files. The max_library is used for maximum delay analysis and the min_library is used for minimum delay analysis.Restricts
26、the selection of library cells so they are chosen from a subset of the libraries specified by the link_library vari- able. This command can resolve ambiguity among libraries with the same voltage, temperature, and process.6。 ZIC timing(zero interconnect timing)的目的什么?早期的評估7。 place_opt都做哪些優(yōu)化?對設(shè)計(jì)進(jìn)行同步的布
27、局布線的優(yōu)化。優(yōu)化工作有:修setup time的違例,修設(shè)計(jì)規(guī)則的違例,對高扇出的網(wǎng)絡(luò)的buffer trees進(jìn)行綜合:自動(dòng),且將時(shí)鐘和網(wǎng)絡(luò)標(biāo)記為ideal。優(yōu)化掃描鏈,優(yōu)化能耗,layer 優(yōu)化,優(yōu)化congestion8。 將I/O logic paths 設(shè)置成path groups的好處是什么?如果不是設(shè)置為path的話,可能在修violation時(shí)候會(huì)先一直在修IO的,忽略內(nèi)部邏輯的violation,你這樣設(shè)置后就會(huì)表現(xiàn)出分別修violation互不影響9。 解釋一下CTS階段stop pin和exclude pin和floating_pin的意思。Nonstop pins a
28、re pins that would normally be considered endpoints of the clock tree, butinstead IC Compiler traces through them to find the clock tree endpoints. The clock pins ofsequential cells driving generated clocks are implicit nonstop pins. In addition, IC Compilersupports user-defined (or explicit) nonsto
29、p pins.To specify a nonstop pin, use the set_clock_tree_exceptions -non_stop_pinExclude pins are clock tree endpoints that are excluded from clock tree timing calculationsand optimizations. IC Compiler uses exclude pins only in calculations and optimizations fordesign rule constraints. In addition t
30、o the exclude pins inferred by IC Compiler (the implicitexclude pins), IC Compiler supports user-defined (or explicit) exclude pins. For example, youmight define an exclude pin to exclude all branches of the clock tree that fan out from somecombinational logic or to exclude an implicit stop pin.Duri
31、ng clock tree synthesis, IC Compiler isolates exclude pins (both implicit and explicit) fromthe clock tree by inserting a guide buffer before the pin. Beyond the exclude pin, IC Compilernever performs skew or insertion delay optimization, but does perform design rule fixing.To specify an exclude pin
32、, use the set_clock_tree_exceptions -exclude_pinscommand.Float pins are clock pins that have special insertion delay requirements. IC Compiler addsthe float pin delay (positive or negative) to the calculated insertion delay up to this pin.Stop pins are the endpoints of the clock tree that are used f
33、or delay balancing. During clocktree synthesis, IC Compiler uses stop pins in calculations and optimizations for both designrule constraints and clock tree timing (skew and insertion delay).The default clock sinks are implicit stop pins. In addition, IC Compiler supports user-defined(or explicit) st
34、op pins. For example, you might define a stop pin to end a branch at an inputto a combinational cell or to use an implicit exclude pin as a clock sink.IC Compiler assigns a phase delay of zero to all stop pins (implicit and explicit) and uses thisdelay during delay balancing.To specify a stop pin, u
35、se the set_clock_tree_exceptions -stop_pins commandCTS的全稱是Clock Tree Synthesis,其目的是盡可能的使同一個(gè)時(shí)鐘信號到達(dá)各個(gè)終端節(jié)點(diǎn)的時(shí)間相同。CTS的實(shí)現(xiàn)辦法最常見的是通過在時(shí)鐘信號的各個(gè)分支上插入buffer或者inverter來balance時(shí)鐘信號的延遲。Pin結(jié)點(diǎn)的類型Pin類型別名備注exclude pinignore pin不用平衡的節(jié)點(diǎn)stop pinsync pin需要平衡的節(jié)點(diǎn)non_stop pin信號會(huì)穿過這個(gè)節(jié)點(diǎn)float pin最終節(jié)點(diǎn)”藏“在后面 近日使用IC Compiler過程
36、中,在做CTS時(shí),發(fā)現(xiàn)有一個(gè)register的clock pin既是ignore pin又是non-stop pin。如果是ignore pin,則意味著CTS時(shí)應(yīng)把這個(gè)sink排除在外;如果是non-stop pin,則意味著要穿過這個(gè)sink。而這兩種情況是矛盾的。研究的結(jié)果,發(fā)現(xiàn)是由于特殊的clock結(jié)構(gòu)造成的。如下圖:其中的register被用做二分頻,輸出端Q通過一個(gè)inverter連接到了自己的輸入端上;Q端上定義了一個(gè)Generated Clock(GCLK)。因此工具推導(dǎo)出這個(gè)register的CLK pin是一個(gè)non-stop pin。同時(shí),這個(gè)GCLK通過一個(gè)PAD輸出到
37、芯片外部,沒有再與其他register相連。因些,工具推導(dǎo)出這是一個(gè)implicit ignore pin。知道了原因,解決辦法就容易多了。將圖中register的CLK pin設(shè)置為 ignore pin 更為合理些。不想用generated clock做clock tree讓master clock 一次性全做完clock tree,就要在generated clock的定義點(diǎn)設(shè)through pin就是讓clocktree自動(dòng)穿過的意思, 直到下一個(gè) leaf pin ICC EDIstop pin
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年消防設(shè)施檢測與維保服務(wù)合同5篇
- 2025年度安置房質(zhì)量保證合同書3篇
- 2025年水泥制品環(huán)保技術(shù)轉(zhuǎn)移合同3篇
- 2025年度高空墜落防護(hù)HSE施工安全協(xié)議3篇
- 二零二五年房產(chǎn)銷售代理與廣告宣傳協(xié)議3篇
- 二零二五年鮮活水產(chǎn)品運(yùn)輸與質(zhì)量監(jiān)管協(xié)議3篇
- 2025年度免租金停車場租賃合同模板
- 2025版棋牌室三方合作協(xié)議-創(chuàng)新管理與行業(yè)規(guī)范4篇
- 2025年污水處理站污水處理設(shè)施設(shè)備租賃與維修合同3篇
- 2025年度留學(xué)簽證擔(dān)保與資金證明服務(wù)合同3篇
- 公司組織架構(gòu)圖(可編輯模版)
- 1汽輪機(jī)跳閘事故演練
- 陜西省銅川市各縣區(qū)鄉(xiāng)鎮(zhèn)行政村村莊村名居民村民委員會(huì)明細(xì)
- 禮品(禮金)上交登記臺賬
- 普通高中英語課程標(biāo)準(zhǔn)詞匯表
- 北師大版七年級數(shù)學(xué)上冊教案(全冊完整版)教學(xué)設(shè)計(jì)含教學(xué)反思
- 2023高中物理步步高大一輪 第五章 第1講 萬有引力定律及應(yīng)用
- 青少年軟件編程(Scratch)練習(xí)題及答案
- 浙江省公務(wù)員考試面試真題答案及解析精選
- 系統(tǒng)性紅斑狼瘡-第九版內(nèi)科學(xué)
- 全統(tǒng)定額工程量計(jì)算規(guī)則1994
評論
0/150
提交評論