LED字幕顯示系統(tǒng)的設(shè)計(jì)(程序+答辯稿+說(shuō)明書(shū))_第1頁(yè)
LED字幕顯示系統(tǒng)的設(shè)計(jì)(程序+答辯稿+說(shuō)明書(shū))_第2頁(yè)
LED字幕顯示系統(tǒng)的設(shè)計(jì)(程序+答辯稿+說(shuō)明書(shū))_第3頁(yè)
LED字幕顯示系統(tǒng)的設(shè)計(jì)(程序+答辯稿+說(shuō)明書(shū))_第4頁(yè)
LED字幕顯示系統(tǒng)的設(shè)計(jì)(程序+答辯稿+說(shuō)明書(shū))_第5頁(yè)
已閱讀5頁(yè),還剩41頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、任務(wù)書(shū)學(xué)生宀口姓名學(xué)71專業(yè)設(shè)計(jì)(或論文)題目led字幕顯示系統(tǒng)設(shè)計(jì)指導(dǎo)教師姓名職稱工作單位及所從事專業(yè)聯(lián)系方式備注設(shè)計(jì)(論文)內(nèi)容:用單片機(jī)技術(shù)及l(fā)ed點(diǎn)陣設(shè)計(jì)一個(gè)字幕顯示系統(tǒng);1、使用點(diǎn)陣式led實(shí)現(xiàn)漢字的顯示。2、在穩(wěn)定顯示的基礎(chǔ)上實(shí)現(xiàn)字幕的移動(dòng)?;疽螅?、電路圖設(shè)計(jì)2、程序設(shè)計(jì)3、系統(tǒng)仿真4、完成說(shuō)明書(shū)撰寫(xiě)進(jìn)度安排:4月2日25 h:選題及查找相關(guān)資料4月26日5月8日:主要查找與課題相關(guān)的資料5月9 1316 0:相關(guān)資料的整理并對(duì)其進(jìn)行理解5月16 口23 口:對(duì)畢業(yè)論文的資料進(jìn)行初步的整理5月23日31 b:對(duì)論文進(jìn)行修改及進(jìn)行仿真實(shí)驗(yàn)6月1 h13 r:對(duì)畢業(yè)論文進(jìn)行排版及

2、檢查排版及類容6刀14日20日:加深對(duì)畢業(yè)論文的理解準(zhǔn)備答辯6月21日30 h:檢查畢業(yè)設(shè)計(jì)論文及準(zhǔn)備答辯主要參考文獻(xiàn)、資料(寫(xiě)清楚參考文獻(xiàn)名稱、作者、出版單位):1 蘇平.單片機(jī)原理與接口技術(shù).電子工業(yè)出版社.2003年5月2 林伸茂.8051單片機(jī)徹底研究實(shí)習(xí)篇.人民郵電出版社.2005年8月3 韓志軍.單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì).機(jī)械工業(yè)出版社.2005年1月4 陳坤、張義中等.電子設(shè)計(jì)技術(shù).電子科技大學(xué)出版社.1997年5月5 鄭應(yīng)光.模擬電子線路(一).東南大學(xué)出版社.2005年3月6 李秀忠.單片機(jī)應(yīng)用技術(shù).人民郵電岀版社.2007年1 j7 肖洪兵.跟我學(xué)單片機(jī).北京航空航天大學(xué)出版社.

3、2002年3月審 批 意 見(jiàn)教研室負(fù)責(zé)人:年 月 fi備注:任務(wù)書(shū)由指導(dǎo)教師填寫(xiě),一式二份。其屮學(xué)生一份,指導(dǎo)教師一份。在大型商場(chǎng)、車站、碼頭、地鐵站以及各類辦事窗口等越來(lái)越多的場(chǎng)所需要用led 點(diǎn)陣顯示圖形和漢字。led顯示行業(yè)已成為一個(gè)快速發(fā)展的新興產(chǎn)業(yè),市場(chǎng)空間巨大, 前景廣闊。隨著信息產(chǎn)業(yè)的高速發(fā)展,led顯示作為信息傳播的一種重要手段,已廣泛 應(yīng)用于室內(nèi)外需要進(jìn)行服務(wù)內(nèi)容和服務(wù)宗旨宣傳的公眾場(chǎng)所。例如,戶內(nèi)外公共場(chǎng)所廣 告宣傳、機(jī)場(chǎng)車站旅客引導(dǎo)信息、公交車輛報(bào)站系統(tǒng)、證券與銀行信息顯示、餐館報(bào)價(jià) 表、高速公路可變情報(bào)板、體育場(chǎng)館比賽轉(zhuǎn)播、樓宇燈飾、交通信號(hào)燈、景觀照明等。 顯然,le

4、d顯示己成為城市化、現(xiàn)代化和信息化社會(huì)的一個(gè)重要標(biāo)志。點(diǎn)陣顯示器的特 點(diǎn)是可以按照需要的大小、形狀和顏色進(jìn)行組合,用單片機(jī)控制實(shí)行各種文字或圖型的 變化,達(dá)到廣告宣傳和提示的目的。木文基于單片機(jī)(at89c51)講述了 16*16led漢字點(diǎn)陣顯示的基木原理、硬件組成 與設(shè)計(jì),利用嵌入式系統(tǒng)軟碩件設(shè)計(jì)仿真平臺(tái)proteus對(duì)點(diǎn)陣式led滾動(dòng)漢字顯示屏 進(jìn)行仿真設(shè)計(jì),完成了系統(tǒng)的硬件電路設(shè)計(jì)和軟件編程,并進(jìn)行了仿真調(diào)試,實(shí)現(xiàn)了漢字在 點(diǎn)陣式led顯示屏上的滾動(dòng)顯示,達(dá)到了仿真設(shè)計(jì)的目的。關(guān)鍵詞 at89c51;動(dòng)態(tài)顯示;16*16點(diǎn)陣;led顯示屏;摘要1第一章緒論1第二章led字幕顯示系統(tǒng)的整

5、體設(shè)計(jì)2第三章led字幕顯示系統(tǒng)硬件設(shè)計(jì)43單片機(jī)at89c5143.1.1 at89c51 引腳圖43.1.2 at89c51 引腳功能53.2電源控制單元設(shè)計(jì)63.3時(shí)鐘電路設(shè)計(jì)73.4復(fù)位電路設(shè)計(jì)73.4.1手動(dòng)按鈕復(fù)位73.4.2上電復(fù)位83.5驅(qū)動(dòng)電路設(shè)計(jì)93.6驅(qū)動(dòng)電路及其接口93.6.1 74ls273 驅(qū)動(dòng) led 陽(yáng)極93.6.2 74hc138 驅(qū)動(dòng) led 陰極93.7 led顯示電路設(shè)計(jì)113.7.2 16*16led點(diǎn)陣結(jié)構(gòu)原理123.7.3 16*16led點(diǎn)陣顯示原理12第四章led字幕顯示系統(tǒng)軟件設(shè)計(jì)144. 1主程序144. 2屮斷子程序174.3顯示漢字字模

6、18第五章仿真與調(diào)試235. 1用proteus繪制原理圖235.2 proteus對(duì)單片機(jī)內(nèi)核的仿真24結(jié)論27參考文獻(xiàn)28附錄1整機(jī)電路圖29附錄2元器件明細(xì)表30附錄3程序清單31第一章緒論隨著電子技術(shù)的迅速發(fā)展,特別是隨大規(guī)模集成電路產(chǎn)牛而出現(xiàn)的微型計(jì)算機(jī),給 人類生活帶來(lái)了根本性的改變。如果說(shuō)微型計(jì)算機(jī)的出現(xiàn)使現(xiàn)代科學(xué)研究得到了質(zhì)的飛 躍,那么可以毫不夸張的說(shuō),單片機(jī)技術(shù)的出現(xiàn)則是給現(xiàn)代工業(yè)測(cè)控領(lǐng)域帶來(lái)了一次新 的技術(shù)革命。目前,單片機(jī)以其高可靠性、高性能價(jià)格比,在工業(yè)控制系統(tǒng)、數(shù)據(jù)采集 系統(tǒng)、智能化儀器儀表、辦公自動(dòng)化等諸多領(lǐng)域得到極為廣泛的應(yīng)用,并已走入家庭, 從洗衣機(jī)、微波爐到

7、音響、汽車,到處都可見(jiàn)到單片機(jī)的蹤影。因此,單片機(jī)技術(shù)開(kāi)發(fā) 和應(yīng)用水平已逐步成為一個(gè)國(guó)家工業(yè)發(fā)展水平的標(biāo)志之一。由于單片機(jī)技術(shù)在各個(gè)領(lǐng)域正得到越來(lái)越廣泛的應(yīng)用,世界上許多集成電路生產(chǎn)廠 家相繼推出了各種類型的單片機(jī),在單片機(jī)家族的眾多成員屮,mcs-51系列單片機(jī)以 其優(yōu)越的性能、成熟的技術(shù)及高可靠性和高性能價(jià)格比,迅速占領(lǐng)了工業(yè)測(cè)控和自動(dòng)化 工程應(yīng)用的主要市場(chǎng),成為國(guó)內(nèi)單片機(jī)應(yīng)用領(lǐng)域中的主流。目前,可用于mcs-51系列 單片機(jī)開(kāi)發(fā)的碩件越來(lái)越多,與其配套的各類開(kāi)發(fā)系統(tǒng)、各種軟件也fi趨完善,因此, 可以極方便地利用現(xiàn)有資源,開(kāi)發(fā)出用于不同s的的各類應(yīng)用系統(tǒng)。木設(shè)計(jì)以單片機(jī)at89c51為控

8、制芯片,控制led顯示系統(tǒng)的硬件和軟件系統(tǒng),該 硬件系統(tǒng)主要分為時(shí)鐘復(fù)位電路、顯示電路、陰極驅(qū)動(dòng)電路及陽(yáng)極驅(qū)動(dòng)電路等幾個(gè)模塊。 其中顯示屯路的顯示屏采用16*16點(diǎn)陣式led顯示屏。最終在程序的支持下實(shí)現(xiàn)漢字 的動(dòng)態(tài)顯示,并能實(shí)現(xiàn)逐位顯示功能。第二章led字幕顯示系統(tǒng)的整體設(shè)計(jì)本系統(tǒng)采用單片機(jī)at89c51為核心芯片的電路來(lái)實(shí)現(xiàn),硬件電路由單片機(jī) at89c51、電源電路、時(shí)鐘電路、復(fù)位電路、驅(qū)動(dòng)電路和led點(diǎn)陣電路等組成。其屮 顯示電路的顯不屏采用16*16點(diǎn)陣式led顯不屏,晶振米用12mhz的晶振。再通過(guò)一 個(gè)按鍵開(kāi)關(guān)來(lái)實(shí)現(xiàn)復(fù)位顯示功能。其點(diǎn)陣式led滾動(dòng)漢字顯示屏系統(tǒng)設(shè)計(jì)框圖如圖21

9、所示:圖2-1 led滾動(dòng)漢字顯示屏系統(tǒng)設(shè)計(jì)框圖本系統(tǒng)選用的單片機(jī)為atmel公司的at89c51,顯示屏采用16*16 led點(diǎn)陣。 電源電路通過(guò)變壓整流元件為單片機(jī)和其他電路提供穩(wěn)定的+5v工作電壓。時(shí)鐘電路是 單片機(jī)的驅(qū)動(dòng)電路,復(fù)位電路可在需要時(shí),手動(dòng)使單片機(jī)程序計(jì)數(shù)器復(fù)位清零。通過(guò)陽(yáng) 極驅(qū)動(dòng)電路向16*16點(diǎn)陣送字型碼,本設(shè)計(jì)采用74ls273o通過(guò)陰極驅(qū)動(dòng)電路對(duì)16*16 點(diǎn)陣進(jìn)行列掃描,本設(shè)計(jì)采用74hc138。以達(dá)到其點(diǎn)陣式led滾動(dòng)漢字顯示屏系統(tǒng)設(shè) 計(jì)。在本系統(tǒng)屮,所用的應(yīng)用技術(shù)有動(dòng)態(tài)掃描顯示技術(shù)、8*8led點(diǎn)陣結(jié)構(gòu)原理以及漢 字點(diǎn)陣顯示原理及字庫(kù)代碼獲取。動(dòng)態(tài)顯示方式是指一

10、位一位地輪流點(diǎn)亮每位顯示器(稱為掃描),即每個(gè)數(shù)碼管的 位選被輪流選中,多個(gè)數(shù)碼管公用一組段選,段選數(shù)據(jù)僅對(duì)位選選中的數(shù)碼管有效。對(duì) 于每一位顯示器來(lái)說(shuō),每隔一段時(shí)間點(diǎn)亮一次。顯示器的亮度既與導(dǎo)通電流有關(guān),也與 點(diǎn)亮?xí)r間和間隔時(shí)間的比例有關(guān)。通過(guò)調(diào)整電流和時(shí)間參數(shù),可以既保證亮度,又保證 顯示。若顯示器的位數(shù)不大于8位,則顯示器的公共端只需一個(gè)8位i/o 口進(jìn)行動(dòng)態(tài)掃 描(稱為掃描口),控制每位顯示器所顯示的字形也需一個(gè)8位口(稱為段碼輸出)o動(dòng)態(tài)顯示的碩件接法是將所有的led顯示器的段選線連并在一起,接到一個(gè)8位 i/o 口,而位選線線則分開(kāi)連接到各自的i/o 口,從而進(jìn)行動(dòng)態(tài)掃描。動(dòng)態(tài)掃描

11、實(shí)質(zhì)就是利用人眼的視覺(jué)殘留來(lái)實(shí)現(xiàn)的,讓人感覺(jué)所有數(shù)碼管是同時(shí)亮 的,這就是通過(guò)延時(shí)程序來(lái)讓每個(gè)數(shù)碼管逐個(gè)顯示,只不過(guò)延時(shí)到很短的時(shí)候人眼就會(huì) 感覺(jué)不出來(lái),這就是led數(shù)碼管的動(dòng)態(tài)掃描。木設(shè)計(jì)所采用的是漢字的滾動(dòng)掃描。通過(guò)陽(yáng)極驅(qū)動(dòng)電路向16*16點(diǎn)陣送字型碼(此 設(shè)計(jì)采用74ls273) o通過(guò)陰極驅(qū)動(dòng)電路對(duì)16*16點(diǎn)陣進(jìn)行列掃描(此設(shè)計(jì)采用 74hc138) o利用人眼的視覺(jué)殘留現(xiàn)象,讓人感覺(jué)所有數(shù)碼管是同時(shí)亮的,通過(guò)延時(shí)程 序來(lái)讓每個(gè)led數(shù)碼管逐個(gè)顯示,延吋到很短的時(shí)候人眼就會(huì)感覺(jué)不出來(lái),于是就實(shí) 現(xiàn)了 led數(shù)碼管的動(dòng)態(tài)掃描。第三章led字幕顯示系統(tǒng)硬件設(shè)計(jì)點(diǎn)陣式led滾動(dòng)漢字顯示屏碩

12、件電路包括單片機(jī)、電源電路、時(shí)鐘電路、復(fù)位電 路、驅(qū)動(dòng)電路和led點(diǎn)陣電路等。本設(shè)計(jì)的核心是利用單片機(jī)讀取顯示字型碼,通過(guò) 驅(qū)動(dòng)電路對(duì)16*16 led點(diǎn)陣進(jìn)行動(dòng)態(tài)列掃描,以實(shí)現(xiàn)漢字的滾動(dòng)顯示。木設(shè)計(jì)選用的單 片機(jī)為atmel公司的at89c51,顯示屏采用16*16 led點(diǎn)陣。電源電路通過(guò)變壓整 流元件為單片機(jī)和其他電路提供穩(wěn)定的+5v工作電壓。時(shí)鐘電路是單片機(jī)的驅(qū)動(dòng)電路, 復(fù)位電路可在需要時(shí),手動(dòng)使單片機(jī)程序計(jì)數(shù)器復(fù)位清零。通過(guò)陽(yáng)極驅(qū)動(dòng)電路向16*16 點(diǎn)陣送字型碼(此設(shè)計(jì)采用74ls273) o通過(guò)陰極驅(qū)動(dòng)電路對(duì)16*16點(diǎn)陣進(jìn)行列掃描(此 設(shè)計(jì)采用74hc138) o考慮到p0 口必

13、需設(shè)置上拉電阻,我們采用4.7kq排電阻作為上 拉電阻。3.1 單片機(jī) at89c51at89c51是一種帶4kb閃爍可編程可擦除只讀存儲(chǔ)器(fperom)的低電壓、高性 能cmos型8位微處理器,俗稱單片機(jī)。該器件釆用atmel高密度非易失存儲(chǔ)器制造 技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的mcs-51指令集和輸出管腳相兼容。由于將多功能8位cpu 和閃爍存儲(chǔ)器組合在單個(gè)芯片屮,能夠進(jìn)行1000次寫(xiě)/擦循環(huán),數(shù)據(jù)保留時(shí)間為10年。 它是一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。因 此,在智能化電子設(shè)計(jì)與制作過(guò)程中經(jīng)常用到at89c5eat89c51是intel公司生產(chǎn)的一種單片機(jī),

14、在一小塊芯片上集成了一個(gè)微型計(jì)算機(jī) 的各個(gè)組成部分。每一個(gè)單片機(jī)包括:一個(gè)8位的微型處理器cpu; 個(gè)256k的片內(nèi) 數(shù)據(jù)存儲(chǔ)器ram;片內(nèi)程序存儲(chǔ)器rom;四個(gè)8位并行的i/o接口 p0p3,每個(gè)接口 既可以輸入,也可以輸出;兩個(gè)定時(shí)器/記數(shù)器;五個(gè)中斷源的中斷控制系統(tǒng);一個(gè)全雙 工uart的串行i/o 口;片內(nèi)振蕩器和時(shí)鐘產(chǎn)生電路,但石英晶體和微調(diào)電容需要外接, 最高允許振蕩頻率是12mh乙 以上各個(gè)部分通過(guò)內(nèi)部總線相連接。下面簡(jiǎn)單介紹一下 其各個(gè)部分的功能。3.1.1 at89c51 引腳圖at89c51是標(biāo)準(zhǔn)的40引腳雙列直插式集成芯片,如圖3-1所示:pi.0匚140vccpi.i匚

15、239=1pof> (ado)pi.2匚33r=ro. 1 (adi)pi.3匚437zdpo.2 (ad2)pi.4匚536=po.3 (ad3)(mosi) pi.5匚635po.4 ( ad4)(miso) pi.6匚734=1po.5 (a05)(sck) pi.7匚833p0.6 (ad6)rst匸932h:> .7 (ad7)(rxd) p3.0 匸1031=1 eavpp(txd) p3j匚1130 ale. prog(into) p3.2 匚1229psen(inti) p3-3 匸1328p2.7 (a 15)(to) p3.4 匸1427zjp2.6 ( a 1

16、4(tl) p3.5匸1526p2.5 (ai3><vt<) 13.6 匸1625p2.4 (a12)(rd) p3.7匸1724=1p2.3 (all)x i al2匚1823p2.2 (a 10x1al1匸1922=1p2.2 (a9)gnd匚2021=1p2.0 (a8>圖3-1 at89c51引腳圖3.1.2 at89c51引腳功能按其功能可分為電源、時(shí)鐘、控制和i/o接口四大部分:1、電源引腳vcc:芯片主屯源,外接+5v; gnd:電源地線。2、時(shí)鐘引腳xtal1和xtal2為內(nèi)部器的兩條引出線。at89c51芯片的吋鐘頻率一般在612mhz之間。單片機(jī)內(nèi)部

17、有一個(gè)可以構(gòu)成振 蕩器的放大電路。在這個(gè)放大電路的對(duì)外引腳xtal2和xtal1引腳接上晶體和電容 就可以構(gòu)成單片機(jī)的時(shí)鐘電路。3、控制引腳(1) rst/vpd:復(fù)位/掉電保護(hù)信號(hào)輸入端。rst即為reset, vpd為備用電源, 所以該引腳為單片機(jī)的上電復(fù)位或掉電保護(hù)端。當(dāng)單片機(jī)振蕩器工作時(shí),該引腳上出現(xiàn) 持續(xù)兩個(gè)機(jī)器周期的高屯平,就可實(shí)現(xiàn)復(fù)位操作,使單片機(jī)復(fù)位到初始狀態(tài)。當(dāng)vcc發(fā)牛故障,降低到低電平規(guī)定值或掉電時(shí),該引腳可接上備用電源vpd (+5v)為內(nèi)部ram供電,以保證ram中的數(shù)據(jù)不丟失。(2)ea/ypp:訪問(wèn)程序存儲(chǔ)器控制信號(hào)/編程電源輸入端。ea為訪問(wèn)外部程序儲(chǔ) 器控制信

18、號(hào),低電平有效。當(dāng)甄端保持高電平時(shí),單片機(jī)at89c51訪問(wèn)片內(nèi)程序存儲(chǔ) 器為4kb,若超出該范圍時(shí),它會(huì)自動(dòng)轉(zhuǎn)去執(zhí)行外部程序存儲(chǔ)器的程序。當(dāng)ix端保持 低電平時(shí),無(wú)論片內(nèi)有無(wú)程序存儲(chǔ)器,均只訪問(wèn)外部程序存儲(chǔ)器。對(duì)于片內(nèi)含有eprom 的單片機(jī),在eprom編程期間,該引腳用于接21v的編程電源vpp。(3)psen:片外程序存儲(chǔ)器讀選通有效信號(hào)。(4)ale/prog :地址鎖存控制信號(hào)/編程脈沖輸入端。4、i/o引腳單片機(jī)at89c51總共有四個(gè)i/o端口: po、pl、p2和p3。(1)pod (39腳32腳):p0.0p0.7統(tǒng)稱為pod。8位雙向并行i/o接口。 擴(kuò)展片外存儲(chǔ)器或i/

19、o時(shí),作為低8位地址產(chǎn)品線和8位數(shù)據(jù)產(chǎn)品線的分時(shí)利用接口, 它為雙向三態(tài)。p0 口可帶8個(gè)ttl負(fù)載電流。(2)p1 口(1腳8腳):pl0p1.7統(tǒng)稱為p1 口,為8位準(zhǔn)雙向并行i/o接口。 p1 口每一位都可以獨(dú)立設(shè)置成輸入端出位,它可以驅(qū)動(dòng)4個(gè)ttl電路。(3)p2 口 (21腳28腳):p2.0p2.7統(tǒng)稱為p2 口,為8位準(zhǔn)雙向并行i/o接 口。擴(kuò)展外部數(shù)據(jù)、程序存儲(chǔ)器時(shí),作為高8位地址輸出端口。(4)p3 口(10腳17腳):p3.0p3.7統(tǒng)稱為p3 口為8位準(zhǔn)雙向并行i/o接口。 除了與p1 口有一樣的i/o功能外,每一個(gè)引腳還兼有第二功能。它可以驅(qū)動(dòng)4個(gè)ttl 電路。p3 口

20、的第2功能見(jiàn)表31:表31 p3 口的第2功能p3.0p3.1p3.2p3.3p3.4p3.5p3.6p3.7rxdtxdintointitot1wrrd3. 2電源控制單元設(shè)計(jì)電源電路通過(guò)變壓整流元件為單片機(jī)和其他電路提供穩(wěn)定的+5v工作電壓。本設(shè)計(jì) 中,我采用穩(wěn)定的+5v工作電源,為電路提供電源。3. 3時(shí)鐘電路設(shè)計(jì)圖32時(shí)鐘電路時(shí)鐘電路可以簡(jiǎn)單定義如下:1、就是產(chǎn)牛象時(shí)鐘一樣準(zhǔn)確的振蕩電路。2、任何工作都按時(shí)間順序。用于產(chǎn)生這個(gè)時(shí)間的電路就是時(shí)鐘電路?,F(xiàn)在流行的串行時(shí)鐘電路很多,如ds1302、ds1307. pcf8485等。這些電路的接 口簡(jiǎn)單、價(jià)格低廉、使用方便,被廣泛地采用。本設(shè)

21、計(jì)中時(shí)鐘電路由at89c51的18,19腳的時(shí)鐘端(xtal1及xtal2)以及12 mhz 晶振x、電容c2、c3組成,采用片內(nèi)振蕩方式。3. 4復(fù)位電路設(shè)計(jì)無(wú)論用戶使用哪種類型的單片機(jī),總要涉及到單片機(jī)復(fù)位電路的設(shè)計(jì)。單片機(jī)的復(fù)位 操作使單片機(jī)進(jìn)入初始化狀態(tài)。而單片機(jī)復(fù)位電路設(shè)計(jì)的好壞,直接影響到整個(gè)系統(tǒng)工作 的可靠性。許多用戶在設(shè)計(jì)完單片機(jī)系統(tǒng),并在實(shí)驗(yàn)室調(diào)試成功后,在現(xiàn)場(chǎng)卻岀現(xiàn)了“死 機(jī)”、“程序走飛”等現(xiàn)彖,這主要是單片機(jī)的復(fù)位電路設(shè)計(jì)不可靠引起的。單片機(jī)系統(tǒng)的復(fù)位方式有:手動(dòng)按鈕復(fù)位和上電復(fù)位。3.4. 1手動(dòng)按鈕復(fù)位手動(dòng)按鈕復(fù)位需要人為在復(fù)位輸入端rst上加入高屯平(圖33手動(dòng)按

22、鈕復(fù)位)。 一般采用的辦法是在rst端和正電源vcc之間接一個(gè)按鈕。當(dāng)人為按下按鈕時(shí),則vcc 的+5v電平就會(huì)直接加到rst端。手動(dòng)按鈕復(fù)位的電路如所示。由于人的動(dòng)作再快也 會(huì)使按鈕保持接通達(dá)數(shù)十毫秒,所以,完全能夠滿足復(fù)位的時(shí)間要求。vccrst(matr<k'513. 4. 2上電復(fù)位at89c51的上電復(fù)位電路如圖3-4所示,只要在rst復(fù)位輸入引腳上接一電容至vcc端,下接一個(gè)電阻到地即可。vcc】0嚇半vlat89c5irnui-rstgnd圖34上電復(fù)位復(fù)位電路采用簡(jiǎn)易的手動(dòng)按鈕復(fù)位屯路,主要由電阻r ,電容c ,開(kāi)關(guān)k組成, 分別接至at89c51的rst復(fù)位輸人

23、端。當(dāng)按下復(fù)位電路的復(fù)位開(kāi)關(guān)reset按鈕時(shí),瞬間短接復(fù)位開(kāi)關(guān),由丁此reset復(fù)位開(kāi) 關(guān)一端接地為低電平,另一端有電源5v供電端和pg信號(hào)端,間接供給為高電平,通 常為3.3v,而此復(fù)位開(kāi)關(guān)的某一段也會(huì)直接或者間接的作用于南橋內(nèi)的復(fù)位系統(tǒng)控制模 塊,所以瞬間短接復(fù)位開(kāi)關(guān)會(huì)在開(kāi)關(guān)的高電平端會(huì)產(chǎn)生一個(gè)低電平信號(hào),此信號(hào)會(huì)直接 或間接作用于南橋內(nèi)的復(fù)位系統(tǒng)控制器,使南橋強(qiáng)行復(fù)位z后,南橋也會(huì)強(qiáng)行去復(fù)位其 他的設(shè)備和模塊,南橋給主板其他硬件設(shè)備復(fù)位后,整個(gè)主板復(fù)位完成,這就是主板復(fù) 位電路的原理。本設(shè)計(jì)采用手動(dòng)按鈕復(fù)位,以滿足復(fù)位的時(shí)間要求及設(shè)計(jì)質(zhì)量。3. 5驅(qū)動(dòng)電路設(shè)計(jì)現(xiàn)代ic技術(shù)的發(fā)展,出現(xiàn)了很

24、多的專用點(diǎn)陣驅(qū)動(dòng)電路芯片,木系統(tǒng)還是采用比較經(jīng) 典的74ls系列移位寄存器作為點(diǎn)陣輸出驅(qū)動(dòng)。通過(guò)陽(yáng)極驅(qū)動(dòng)電路向16*16點(diǎn)陣送字型 碼,本設(shè)計(jì)采用74ls273。通過(guò)陰極驅(qū)動(dòng)電路對(duì)16*16點(diǎn)陣進(jìn)行列掃描,本設(shè)計(jì)采用 74hc138o3.6驅(qū)動(dòng)電路及其接口3. 6. 1 74ls273 驅(qū)動(dòng) led 陽(yáng)極本設(shè)計(jì)采用74ls273驅(qū)動(dòng)led陽(yáng)極向16*16點(diǎn)陣送字型碼。74ls273是一種帶清除功能的8d觸發(fā)器,1d8d為數(shù)據(jù)輸入端,1q8q為數(shù)據(jù)輸出端,正脈沖觸發(fā),低 電平清除,常用作8位地址鎖存器。同時(shí)74ls273也是帶公共時(shí)鐘復(fù)位八d觸發(fā)辭。圖3-5 74ls273驅(qū)動(dòng)led陽(yáng)極3. 6

25、.2 74hc138 驅(qū)動(dòng) led 陰極木設(shè)計(jì)采用74hc138驅(qū)動(dòng)led陰極對(duì)16*16點(diǎn)陣進(jìn)行列掃描。74hc138是一款高速cmos器件,74hc138引腳兼容低功耗肖特基ttl (lsttl)系列。§50ri<殳6fe5*t旦merm5ovill ir丿x m om d1 0k ca oib 11 qa.nq-9ncl<ta.fl dl£>*5圖3-6 74hc138驅(qū)動(dòng)led陰極74hc138譯碼器可接受3位二進(jìn)制加權(quán)地址輸入(ao, a1和a3),并當(dāng)使能時(shí),提供8個(gè)互斥的低有效輸出(y0至y7)。74hc138特有3個(gè)使能輸入端:兩個(gè)低有效第

26、9頁(yè)(el和e2)和一個(gè)高有效(e3) o除非e1和e2置低且e3置高,否則74hc138將保 持所有輸出為高。利用這種復(fù)合使能特性,僅需4片74hc138芯片和1個(gè)反相器,即 可輕松實(shí)現(xiàn)并行擴(kuò)展,組合成為一個(gè)1-32 (5線到32線)譯碼器。任選一個(gè)低有效使 能輸入端作為數(shù)據(jù)輸入,而把其余的使能輸入端作為選通端,則74hc138亦可充當(dāng)一 個(gè)8輸出多路分配器,未使用的使能輸入端必須保持綁定在各自合適的高有效或低有效 狀態(tài)。74hc138常用在單片機(jī)和數(shù)字電路的譯碼電路中,它的引腳、真值表如圖所示:n x £ 爲(wèi) £ % £ z圖3-7 74ls138引腳圖表32

27、 74hc138真值表0xx11010101010101010占2兒月。xxx000oo101o011100101110111輸出1 i i i 1 i i f111110 111110 111110 111101111111111111111111111o111o1110111111110上表中x表示為任意輸入狀態(tài),在片選使用狀態(tài)下輸入中8線始終只有1線為0,此74hc138芯片在單片機(jī)系統(tǒng)屮極大限度的起到了擴(kuò)展10資源的作用,只要用單片機(jī)的2個(gè)10引腳資源就能控制8個(gè)輸出,而h程序的編制也容易實(shí)現(xiàn)。74hcls138引腳功能:第io頁(yè)第8腳gnd,電源地;第15腳vcc,電源正極;第13腳

28、a、b、c,二進(jìn)制輸入腳;第46腳片選信號(hào)控制,只有在4、5腳為“(t6腳為時(shí),才會(huì)被選通,輸出受a、 b、c信號(hào)控制。其它任何組合方式將不被選通,且y0y7輸出全為“1”。通過(guò)控制選通腳來(lái)級(jí)聯(lián),使之?dāng)U展到十六位。3. 7 led顯示電路設(shè)計(jì)led顯示屏(led panel) : led就是light emitting diode ,發(fā)光二極管的英文縮 寫(xiě),簡(jiǎn)稱ledo它是一種通過(guò)控制半導(dǎo)體發(fā)光二極管的顯示方式,其大概的樣子就是由 很多個(gè)發(fā)光二極管組成,靠燈的亮滅來(lái)顯示字符。led主要是用來(lái)顯示文字、圖形、圖 像、動(dòng)畫(huà)、行情、視頻、錄像信號(hào)等各種信息的顯示屏幕。led顯示屏按顯示器件分類可分以

29、下兒種:led數(shù)碼顯示屏:顯示器件為7段碼數(shù)碼管,適于制作時(shí)鐘屏、利率屏等,顯示數(shù) 字的電子顯示屏。led點(diǎn)陣圖文顯示屏:顯示器件是由許多均勻排列的發(fā)光二極管組成的點(diǎn)陣顯示模 塊,適于播放文字、圖像信息。led視頻顯示屏:顯示器件是由許多發(fā)光二極管組成,可以顯示視頻、動(dòng)畫(huà)等各種 視頻文件。led顯示屏的顯示方式有靜態(tài)、橫向滾動(dòng)、垂直滾動(dòng)和翻頁(yè)顯示等。led點(diǎn)陣圖文顯示屏單塊模塊控制驅(qū)動(dòng)12塊(最多可控制24塊)8*8點(diǎn)陣,它可 采用“級(jí)連啪勺方式組成任意點(diǎn)陣大顯示屏。顯示效果好,功耗小,成本更低。在本設(shè) 計(jì)中只采用16*16點(diǎn)陣式led顯示屏。3.7.1 8*8 led點(diǎn)陣顯示原理8*8點(diǎn)陣l

30、ed結(jié)構(gòu)如下圖3-8所示:8 cddc7dc6dc5dc4dc3肖dc2寸ql°ql o9 or q卜興oc1coqia運(yùn)0圖3-8 8*8點(diǎn)陣led結(jié)構(gòu)從圖38中可以看出,8*8點(diǎn)陣共需耍64個(gè)發(fā)光二極管組成,且每個(gè)發(fā)光二極管是 放置在行線和列線的交叉點(diǎn)上,當(dāng)對(duì)應(yīng)的某一列置1電平,某一行置0電平,則相應(yīng)的 二極管就亮;因此要實(shí)現(xiàn)一根柱形的亮法,如圖所示,對(duì)應(yīng)的一列為一根豎柱,或者對(duì) 應(yīng)的一行為一根橫柱,因此實(shí)現(xiàn)柱的亮的方法如下所述:一根豎柱:對(duì)應(yīng)的列置1,而行則采用掃描的方法來(lái)實(shí)現(xiàn)。一根橫柱:對(duì)應(yīng)的行置0,而列則采用掃描的方法來(lái)實(shí)現(xiàn)。3.7.2 16*16 led點(diǎn)陣結(jié)構(gòu)原理本設(shè)計(jì)

31、所采用的漢字的16*16 led點(diǎn)陣滾動(dòng)顯示。是采用4快8*8的led點(diǎn)陣顯 示所組成。所謂led點(diǎn)陣顯示,就是將很多l(xiāng)ed按行列順序排列組合起來(lái),每個(gè)led構(gòu) 成點(diǎn)陣中的一個(gè)像素。把每個(gè)led的陰極和陽(yáng)極都引出來(lái),就可以對(duì)點(diǎn)陣中的任何一 個(gè)led加以控制,達(dá)到要顯示的效果。目前市面上的led點(diǎn)陣是列輸入線接至內(nèi)部led 的陰極端,行輸入線接至內(nèi)部led的陽(yáng)極端(若陽(yáng)極端輸入為高電平,陰極端輸入低 電平,則該led點(diǎn)亮)。3.7.3 16*16 led點(diǎn)陣顯示原理16*16 led點(diǎn)陣顯示原理如圖3-9所示:16*16點(diǎn)陣的組成原理圖3-9 16*16的led點(diǎn)陣顯示組成圖16*16 led點(diǎn)

32、陣顯示是采用4塊8*8的led點(diǎn)陣顯示所組成。將4塊8*8的led 點(diǎn)陣屏的陽(yáng)極、陽(yáng)極按行列順序排列組合起來(lái),引出輸入和輸出極,這樣每個(gè)led點(diǎn) 陣中的一個(gè)像素就構(gòu)成一副完整的16*16 led點(diǎn)陣顯示屏。16*16點(diǎn)陣的陽(yáng)極驅(qū)動(dòng)由p0 口經(jīng)輸出緩沖器74ls273構(gòu)成,在本設(shè)計(jì)屮需要用兩 片,分別送出上8行和下8行的字型碼。16*16點(diǎn)陣的陰極驅(qū)動(dòng)由p2 口經(jīng)74hc138譯 碼后動(dòng)態(tài)掃描16*16點(diǎn)陣的各列,本設(shè)計(jì)中需要四片74hc138譯碼器,循環(huán)掃描各列, 顯示一個(gè)完整的漢字需要掃描32次。通過(guò)編程控制各顯示點(diǎn)對(duì)應(yīng)led陽(yáng)極和陰極端的 電平,就可以控制各顯示點(diǎn)的亮滅。實(shí)現(xiàn)16*16 l

33、ed點(diǎn)陣漢字滾動(dòng)顯示。第四章led字幕顯示系統(tǒng)軟件設(shè)計(jì)本設(shè)計(jì)的系統(tǒng)軟件包括單片機(jī)控制程序、中斷子程序、顯示子程序等兒個(gè)模塊。單 片機(jī)控制程序的作用是作為系統(tǒng)的主控制程序模塊;屮斷子程序是用來(lái)切換顯示方式的 功能,其作用是使單片機(jī)完成相應(yīng)的控制功能;顯示子程序的作用是指當(dāng)系統(tǒng)產(chǎn)牛中斷 時(shí)所調(diào)用的顯示程序,從而實(shí)現(xiàn)系統(tǒng)的顯示功能。4. 1主程序軟件程序主要由開(kāi)始、初始化、主程序、字庫(kù)組成。其中軟件程序開(kāi)發(fā)的流程示意 圖如圖41所示。開(kāi)始圖41程序開(kāi)發(fā)流程圖at89c51單片機(jī)是8位機(jī),每次只能送出8位數(shù)據(jù),因此要向16*16點(diǎn)陣送出16行陽(yáng)極驅(qū)動(dòng),需要送兩次,或先送上8行,或先送下8行,為了能夠?qū)?/p>

34、現(xiàn)每一列字型碼的完整顯示,采用74ls273進(jìn)行鎖存,否則會(huì)出現(xiàn)字型殘缺現(xiàn)象。根據(jù)設(shè)計(jì)要求并結(jié)合 圖4-2的硬件連接進(jìn)行程序設(shè)計(jì),主程序設(shè)計(jì)流程圖如圖4-2所示。圖42主程序流程圖系統(tǒng)運(yùn)行后,將對(duì)全部變量進(jìn)行初始化。接下來(lái),程序就會(huì)進(jìn)入一個(gè)無(wú)限循環(huán)的狀態(tài)以等待中斷的發(fā)生。主程序中主要是逐字顯示程序,具體說(shuō)明如下所示:void main(void)主函數(shù)int8 i;賦初值int8 *p; flag=0xl0; n=0;tmod=0x01;設(shè)置定時(shí)器to初值th0=0xbl;賦初值tl0=0xe0; while (1)for (i=0;i<8;i+) 顯示左半邊屏幕clk=0;p0=*(p

35、+offset+2*i);clk=l;p2=i|oxo8; p2.4=0,p2.3=l 選中 u2,輸出掃描碼給 u6p0=*(p+offset+2*i);delay();clk=0;p0=*(p+offset+2*i+1);clk=l;p2=i|0xl0;/p2.4=l,p2.3=0 選中 u3,輸出掃描碼給 u7delay();for (i=8;i<16;i卄)顯示右半邊屏幕clk=0;p0=*(p+offset+2*i);clk=l;p2=(i8)|0x20;/p2.5=l p2.4=0, p2.3=0 選中 u4,輸出掃描碼 u8delay();clk=0;p0=*(p+ofts

36、et+2* 汁 1);clk=l;p2=(i-8)|0x40;/p2.6=l p2.5=0, p2.4=0 選屮 u5,輸出掃描碼 u9delay();系統(tǒng)運(yùn)行后,對(duì)全部變量進(jìn)行初始化。接下來(lái),程序就會(huì)進(jìn)入一個(gè)無(wú)限循環(huán)的狀態(tài) 以等待屮斷的發(fā)生。以下將對(duì)屮斷子程序進(jìn)行詳細(xì)的介紹。4. 2中斷子程序> 字形移動(dòng)偏移童置零圖43中斷服務(wù)程序流程圖系統(tǒng)初始化后,將會(huì)等待中斷的產(chǎn)生。當(dāng)中斷產(chǎn)生后,在主程序中就會(huì)產(chǎn)生一個(gè)斷 點(diǎn),程序進(jìn)入屮斷子程序,然后對(duì)相應(yīng)的變量初始化,接下來(lái)就重新設(shè)定定時(shí)器初值, 判斷顯示時(shí)間,當(dāng)掃描到為定時(shí)時(shí)間時(shí),程序就調(diào)動(dòng)顯示子程序執(zhí)行相應(yīng)的顯示方式。 顯示完成后,程序就會(huì)跳

37、到主程序的斷點(diǎn)處,繼續(xù)執(zhí)行主程序下面的程序。屮斷程序及其相關(guān)說(shuō)明如下所示:eto=1;開(kāi)啟定時(shí)器0中斷ea=1;開(kāi)啟總中斷tro=1;開(kāi)始計(jì)數(shù)void delay(void)inti6 i;for (i=0;i<50;i+);void timero() interrupt 1 using 3tfo=o;/重新設(shè)定定時(shí)器初值th0=0xbl;tl0=0xe0;if(n<10)n+;elseoffset+=2;if (offset>1690)offset=0;n=0;4. 3顯示漢字字模顯示漢字“桃李滿天下,恩情似海深!祝各位老師身體健康、工作順利、天天開(kāi)心! ”27余字的滾動(dòng)顯

38、示,16x16顯示字模如下:0x11,0x80,ox 16,0x00,0xff,0xfe,0x 14,0x00,ox 12,0x02,0x00,0x42,0x08,0x44,0x04,0x98,oxff,oxeo,0x00,0x00,oxff,oxfc,0x01,0x02,0x04,0xc2,0x18,0x62,0x10,oxoe,0x00,0x00,/*”桃”,0*/0x01,0x00,0x21,0x20,0x22,0x20,0x23,0x20,0x25,0x20,0x29,0x22,0x31,0x21,0xff,0x7e,0x31,0xa0,0x29,0x20,0x25,0x20,0x24

39、,0x20,0x22,0x20,0x23,0x20,0x02,0x20,0x00,0x00,/*” 李”,1*/0x08,ox 10,0x86,ox 1 f,0x60,0xe0,0x07,0x00,0x20,0x00,0x25,oxff,0x25,ox 10,0xf7,0xe0,0x25,0x28,0x25,ox 10,0x27,0xe0,0xf5,0x22,0x25,0x11,0x25,oxfe,0x24,0x00,0x00,0x00,/*k 滿”,2*/0x00,0x00,0x02,0x01,0x42,0x02,0x42,0x04,0x42,0x08,0x42,ox 10,0x42,0x6

40、0,0x7f,0x80,0x42,0x40,0x42,0x20,0x42,ox 10,0x42,0x08,第is頁(yè)0x42,oxoc,0x42,0x06,0x02,0x04,0x00,0x00,/*” 天”,3*/0x00,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x7f,0xfe,0x44,0x00,0x46,0x00,0x43,0x80,0x41,0x00,0x40,0x00,oxco,0x00,0x40,0x00,0x00,0x00,/*h 下”,4*/0x00,0x00,0x00,0x00,0x0

41、0,ox 1 a,0x00,ox 1c,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*n, n,5*/0x00,0x00,0x00,oxoc,0x00,0x30,oxff,0x00,0x81,0x3c,0x91,0x02,0x93,0x02,0x95,0x42,0xf9,0x32,0x95,0x02,0x93,0x02,0x91 ,oxoe, oxff,0x20,0x00,ox 1c,0x00,0x

42、08,0x00,0x00,/*m 恩”,6*/oxoe,0x00,0x00,0x00,oxff,oxff,ox 10,0x00,oxoa,0x00,0x22,0x00,0x2a,0xff,0x2a,0xa8,0x2a,0xa&0 xfe,0xa&0 x2a,0xaa,0x2a,0xa9, 0x2a,0xfe,0x22,0x00,0x02,0x00,0x00,0x00,/*” 情”,7*/0x01,0x00,0x02,0x00,ox 1 f,0xfe,0xe&oxoo,0x40,0x00,ox 1 f,0xf8,0x00,ox 12,0x80,0x22,0x70,0x44

43、,0x20,0x84,0x00,0x08,0x00,0x30,oxff,oxco,0x00,0x30,0x00,oxoe,0x00,0x00,/*” 似”,8*/oxo&0x20,0x06,0x20,0x80,0xff,0x6f,0x00,0x00,0x80,0x0&0x80, 0xlf,0xf8,0xe 8,0x8&0x2c,0xc8,0x2a,0xa8,0x2&0x8a,0x28,0x89, 0x2f,0xfe,0x20,0x88,0x20,0x88,0x00,0x00,/*” ?!?9*/oxo&0x20,0x86,ox3f,0x60,oxco,0

44、x07,0x04,0x00,0x04,0x64,0x88, 0x44,0x88,0x5&0x90,0x40,oxao,0x43,oxff,0x50,oxao,0x4&0x90, 0x4c,0x98,0x60,0x8c,0x40,0x08,0x00,0x00,/*” 深”,10*/0x00,0x00,0x00,0x00,0x00,0x00,oxof,oxfa,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x

45、00,oxoo,/*h! ”,11 */ox 10,0x40,ox 10,0x80,0x91,0x00,0x73,oxff,ox 15,0x00,ox 18,0xc 1, 0x00,0x02,0x7f,0x0c,0x41,0xf0,0x41,0x00,0x41,0x00,0x41 ,oxfe, 0x7f,0x01,0x00,0x01,0x00,oxof,0x00,0x00,/* n ?!? 12*/0x00,0x40,0x04,0x40,0x04,0x40,0x08,0x80,ox 10,0xfe,0xf 1,0x42, 0x2d,0x42,0x22,0x42,0x22,0x42,0x25,0

46、x42,0x39,0x42,0x20,oxfe, 0x00,0x80,0x00,oxco,0x00,0x80,0x00,0x00,/*n 各”,13*/0x00,0x80,0x03,0x00,oxoc,0x00,0x37,0xfe,0xc0,0x04,0x54,0x04, ox 13,0x04,0x90,0xe4,0x50,0x04,0x70,oxoc,ox 10,0x74,ox 17,0x84, ox 12,0x04,ox 10,0x04,0x00,0x04,0x00,0x00,/* n 位”,14*/0x02,ox 10,0x22,ox 10,0x22,0x20,0x22,0x20,0x2

47、2,0x40,0x22,0x7c, oxfe,0x92,0x23,ox 12,0x23,0x22,0x22,0x22,0x26,0x42,0x2a,0x42, 0x72,0x02,0x22,oxoe,0x02,0x00,0x00,0x00,/*” 老”,15*/0x00,0x02,0x3f,0xe4,0x00,0x08,0x00,0x70,oxff,0x80,0x00,0x00, 0x4f,0xf0,0x48,0x00,0x48,0x00,0x48,0x00,0x7f,0xff,0x48,0x00, 0x48,0x20,0x48,0x10,0x4f,0xe0,0x00,0x00,/*” 師”,

48、16*/0x00,0x00,0x00,0x42,0x00,0x42,0x00,0x44,0x3f,0xc4,0x6a,0x4 & oxaa,0x48,0x2a,0x50,0x2a,0x50,0x2a,0x62,0x2a,0x61,0x3f,0xfe, 0x00,oxco,0x07,0x40,0x02,0x40,0x00,0x00 ,/* ”身 ”,17*/0x02,0x00,0x04,0x00,ox 1 f,0xfe,0xe8,0x00,0x40,ox 10,0x08,0x20, 0x08,0x50,0x09,0x90,oxoe,ox 10,0xff,0xfe,0x0b,0x 10,0

49、x08,0x90, 0x08,0x60,0x08,0x30,0x00,0x20,0x00,0x00,/*” 體”,18*/0x04,0x00,0x0&0x00,0x3f,0xfe,0xc0,0x44,0x26,0x28,0x3a,0x30, 0x03,0xc8,0x2a,0x24,0x2a,0xa6,0x2a,0xa2,0xff,0xfe,0x2a,0xa2,0x3e,0xa2,0x08,0x22,0x08,0x00,0x00,0x00,/*” 健”,19*/0x00,0x01,0x00,0x06,0x3f,0xf8,0x22,0x04,0x2a,0xc6,0x2a,0xa 8, 0x

50、2a,0x92,oxaa,0x81,0x7f,0xfe,0x2a,0xb0,0x2a,0x88,0x2a,0x94, 0x2f,0xb6,0x22,0x03,0x22,0x02,0x00,0x00,/*m 康”,20*/0x00,0x00,0x00,ox 10,0x00,ox 18,0x00,oxoc,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00n,21*/0x00,0x04,0x00,0x04,0

51、x40,0x04,0x40,0x04,0x40,0x04,0x40,0x04, 0x40,0x04,0x7f,0xfc,0x40,0x04,0x40,0x04,0x40,0x04,0x40,0x04, 0x40,0x04,0x40,0x04,0x00,0x04,0x00,0x00,/*”工”,22*/0x01,0x00,0x02,0x00,0x04,0x00,ox 1 f,0xff,0xe0,0x00,0x44,0x00, ox 18,0x00,0x30,0x00,0xdf,0xff,0x 12,0x20,ox 12,0x20,0x12,0x20, 0x16,0x20,ox 12,0x60,0

52、x10,0x20,0x00,0x00 ,/* ”作 ”23 */0x00,0x04,0x7f,0xf8,0x00,0x00,0x3f,0xf0,0x00,0x00,0x7f,0xfe, 0x00,0x00,0x4f,0xe2,0x48,0x04,0x58,0x08,0x69,oxfo,0x48,0x08, 0x48,0x04,0x4f,0xe6,0x40,0x00,0x00,0x00,/*” 順”,24*/0x00,0x08,0x04,ox 10,0x24,0x20,0x24,oxco,0x27,0x00,0x3f,0xff, 0x44,0x80,0x44,0x70,0x44,0x20,0x0

53、0,0x00,ox 1 f,oxfo,0x00,0x04, 0x00,0x02,0x00,0x01,oxff,oxfe,0x00,0x00,/*” 利”,25*/0x00,0x00,0x02,0x01,0x42,0x02,0x42,0x04,0x42,0x08,0x42,ox 10, 0x42,0x60,0x7f,0x80,0x42,0x40,0x42,0x20,0x42,ox 10,0x42,0x08, 0x42,oxoc,0x42,0x06,0x02,0x04,0x00,0x00,/*” 天”,26*/0x02,0x00,0x42,0x02,0x42,0x04,0x42,0x08,0x42

54、,0x30,0x7f,0xc0, 0x42,0x00,0x42,0x00,0x42,0x00,0x42,0x00,0x7f,0xfe,0x42,0x00,0x42,0x00,0x42,0x00,0x42,0x00,0x00,0x00,/*”開(kāi)”,27*/0x00,0x20,0x01,0xc0,0x00,0x00,0x00,0x00,0x0f,0xfc,0x00,0x02, 0x80,0x02,0x40,0x02,0x38,0x02,ox 10,0x02,0x00,0x02,0x02,ox 1e, 0x01,0x00,0x00,oxeo,0x00,0x40,0x00,0x00,/*h 心”,28

55、*/0x00,0x00,0x00,0x00,0x00,0x00,0x0f,0xfa,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*n! ”,29*/第五章仿真與調(diào)試本設(shè)計(jì)利用keil yvision2,在新建keil項(xiàng)目時(shí)選擇at89c51單片機(jī)作為cpu, 將c語(yǔ)言源程序?qū)?,在keil軟件屮完成程序編寫(xiě)、調(diào)試和編譯z后,加載程序?yàn)?hex 文件,牛成能讓單片機(jī)運(yùn)行的hex文件,如圖51所示。g i6kiwm 伽3 w 幗瀕an晦a直儆 160用 16門6辟qti»q*> blse mt view ?roiect debuo r«h tohe* look svcs wwow beta.|dl|a尙圖冊(cè)o s gg «tea筋也凸"h *|"晌1j上 ®rcrctxrtspece x8 tirge

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論