八人搶答器實(shí)驗(yàn)報(bào)告_第1頁(yè)
八人搶答器實(shí)驗(yàn)報(bào)告_第2頁(yè)
八人搶答器實(shí)驗(yàn)報(bào)告_第3頁(yè)
已閱讀5頁(yè),還剩9頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、八人搶答器實(shí)訓(xùn)報(bào)告一、實(shí)驗(yàn)?zāi)康?二、設(shè)計(jì)要求及內(nèi)容 三、設(shè)計(jì)及原理 4.1 總體方案設(shè)計(jì) 4.1.1 設(shè)計(jì)思路 4.1.2 原理框圖 4.2 單元模塊及說(shuō)明 4.2.1 倒計(jì)時(shí)模塊 4.2.2 搶答模塊 四、仿真調(diào)試過(guò)程中的部分顯示 五、實(shí)驗(yàn)結(jié)果顯示 六、設(shè)計(jì)體驗(yàn)及收獲 七、 附 錄一、相關(guān)介紹初始條件要求對(duì)數(shù)字電路里 555 電路的運(yùn)用有所了解,同時(shí) 熟悉 計(jì)數(shù)電路和譯碼電路 的運(yùn)用,還要能夠運(yùn)用 protel 軟件 進(jìn)行電 路的仿真 , 能夠運(yùn)用 multisim 軟禁多 自己設(shè)計(jì)的 電路進(jìn)行仿真, 檢驗(yàn) 自己所設(shè)計(jì)的電路是否正確。要求完成的主要任務(wù)1. 運(yùn)用數(shù)字電路設(shè)計(jì)一個(gè)能夠滿(mǎn)足特定要

2、求的八路搶答器2. 運(yùn)用protel 繪制電路原理圖3. 按照所畫(huà)的原理圖,在實(shí)驗(yàn)室對(duì)根據(jù)所設(shè)計(jì)的原理圖結(jié) 合相關(guān)材料對(duì)設(shè)計(jì)進(jìn)行連接和調(diào)試。搶答器作為一種工具,已經(jīng)廣泛應(yīng)用于各種智力和知識(shí) 競(jìng)賽場(chǎng)合。本文介紹了一種用74系列常用集成電路及常 用芯片設(shè)計(jì)的數(shù)碼顯示 八路搶答器的電路組成、設(shè)計(jì)思路 及功能。該搶答器除具有基本的搶 答功能外,還具有定時(shí)、 計(jì)時(shí)和報(bào)警功能。主持人通過(guò)時(shí)間預(yù)設(shè)開(kāi)關(guān) 預(yù)設(shè)供搶答的 時(shí)間,系統(tǒng)將完成自動(dòng)倒計(jì)時(shí)。若在規(guī)定的時(shí)間內(nèi)有人 搶 答,則計(jì)時(shí)將自動(dòng)停止;若在規(guī)定的時(shí)間內(nèi)無(wú)人搶答,則 系統(tǒng)中的 發(fā)光二極管,提示主持人本輪搶答無(wú)效,實(shí)現(xiàn)報(bào) 警功能。關(guān)鍵詞:關(guān)鍵詞 八路,搶答

3、器,設(shè)計(jì),定時(shí),計(jì)時(shí), 報(bào)警二、實(shí)驗(yàn)?zāi)康耐ㄟ^(guò)本次電工電子課程設(shè)計(jì)希望學(xué)生能很好的利用自己所 學(xué)的 理論知識(shí),將理論運(yùn)用于實(shí)踐,從實(shí)踐中了解到自己所學(xué)知 識(shí) 的用處,培養(yǎng)學(xué)生的實(shí)踐能力,對(duì)實(shí)際問(wèn)題的理解和對(duì)設(shè)計(jì)的 跟 好認(rèn)識(shí)。同時(shí)學(xué)會(huì)對(duì)protel 和multisim 的運(yùn)用。三、設(shè)計(jì)要求及內(nèi)容在許多比賽活動(dòng)中,為了準(zhǔn)確、公正、直觀(guān)地判斷出第一搶答者,通常設(shè)置一臺(tái)搶答器,通過(guò)數(shù)顯、燈光或音響等多種手段示出第一搶答者( 1)設(shè)計(jì)制作一個(gè)可容納 8 組參賽的數(shù)字式搶答器, 每組 設(shè) 置一個(gè)搶答按鈕供搶答者使用。( 2)電路具有第一搶答信號(hào)的鑒別和鎖存功能。 在主持人 系統(tǒng) 發(fā)出搶答指令后,若參賽者按

4、搶答開(kāi)關(guān),則該組指示燈亮并 用 組別顯示電路顯示出搶答者的組別, 同時(shí)指示燈 (發(fā)光二極管 ) 亮。 此時(shí), 電路應(yīng)具備自鎖存功能, 使別組的搶答開(kāi)關(guān)不起 作用。(3)若超時(shí)仍無(wú)人搶答,則報(bào)警指示燈熄滅。四、設(shè)計(jì)及原理4.1 總體方案設(shè)計(jì)4.1.1 設(shè)計(jì)原理本題的根本目的在于準(zhǔn)確判斷出第一搶答者的信號(hào)并將其 鎖存。實(shí)現(xiàn)這一功能可選擇使用鎖存器實(shí)現(xiàn)。 在得到第一信號(hào)之 后應(yīng) 立即將電路的輸入封鎖,即使其他組的搶答信號(hào)無(wú)效。但 是,第一搶 答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效。 當(dāng)電路形成第一搶答信號(hào)之后, 用編碼、譯碼及數(shù)碼顯示電路 顯示出搶答者的序號(hào),也可以用發(fā)光二極管直接指示出序號(hào)。在主

5、持人沒(méi)有按下開(kāi)始搶答按鈕前,參賽者的搶答開(kāi)關(guān)無(wú)效; 當(dāng)主持人按下開(kāi)始搶答按鈕后,開(kāi)始進(jìn)行 30 秒倒計(jì)時(shí),此時(shí), 若有 選手搶答,顯示該組別并使搶答指示燈亮表示“已有人搶 答” ;當(dāng)計(jì) 時(shí)時(shí)間到,仍無(wú)選手搶答,則計(jì)時(shí)指示燈滅表示“時(shí)間已到”,主持 人清零后開(kāi)始新一輪搶答4.2單元模塊及說(shuō)明421 倒計(jì)時(shí)模塊該部分主要由555定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步可逆計(jì) 數(shù)器74LS192減法計(jì)數(shù)電路、74LS48譯碼電路和2個(gè)7段數(shù) 碼管 及相關(guān)電路組成。完成的功能是當(dāng)主持人按下開(kāi)始搶答按 鈕后,進(jìn)行30s倒計(jì)時(shí),到Os時(shí)倒計(jì)時(shí)指示燈亮。當(dāng)有人搶 答時(shí),計(jì)時(shí)停止。兩塊74LS192實(shí)現(xiàn)減法計(jì)數(shù),通過(guò)

6、譯碼電路 74LS48顯示到數(shù)碼管 上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。 74LS192的預(yù)置數(shù)控制端實(shí)現(xiàn) 預(yù)置數(shù)30s,計(jì)數(shù)器的時(shí)鐘脈沖 由脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開(kāi)始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒(méi)有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí),輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào) 警,同 時(shí)宣布此后選手搶答無(wú)效。555構(gòu)成的是多諧振蕩器,為電路提供脈沖信號(hào),保證倒計(jì)時(shí)電路即有74LS192可逆計(jì)數(shù)器構(gòu)成的倒數(shù)功能正常顯示。兩片可逆計(jì)數(shù)器74LS192實(shí)現(xiàn)30s倒計(jì)時(shí)功能,同時(shí)通過(guò)發(fā)光二極管提示時(shí)間即將截止時(shí)選手應(yīng)該抓緊時(shí)間了。

7、5V5 口R 17 口R 13 NR 1 1JI6 口R 1Iti2 口R 1wv-?1 口R 1 rL«<?8 口R 1I? p4 N R 1A-KA 一一=KA一一眉KA yy4 aA-K亠_IFflD0A0D1A1D2A2D3D4GSD5EOD6D7ElU174LS148D976141112R10100| ?15LEI13R11 100| ?16LEIR12R13100|? 100|?1718LED4 也 LED5企IE坯Iff-II-E*IUli -1J4I1|卻11rj|j -151141 |l曲j1 iLTUiMLS2WD13IH2TOT71()AVM0r該部分是由

8、開(kāi)關(guān)和優(yōu)先編碼器74LS148和鎖存器74LS279以及七 段譯碼器 74LS48 構(gòu)成的搶答電路,當(dāng)主持人按下?lián)尨痖_(kāi) 關(guān)時(shí),前面 介紹的倒計(jì)時(shí)電路開(kāi)始計(jì)計(jì)時(shí)時(shí), 選手在 30s 時(shí)間 內(nèi)可以搶答,若即 使時(shí)間內(nèi)沒(méi)有搶答,則主持人將清零從新開(kāi) 始新的搶答,若有選手搶 答,則鎖存器將鎖著該選手序號(hào),并 保證其他選手不能再搶答。 使用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來(lái)完成。 該電路主 要完成兩個(gè)功能: 一是分辨出選手 按鍵的先后,并鎖存優(yōu)先搶答者的 編號(hào),同時(shí)譯碼顯示電路顯 示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示 管) 二是禁止其他選 手按鍵, ; 其按鍵操作無(wú)效。工作過(guò)程:

9、開(kāi)關(guān)S置 于"清除"端時(shí),RS觸發(fā)器的R、S端 均為 0, 4 個(gè)觸發(fā)器輸出置 0, 使 74LS148 的優(yōu)先編碼工作標(biāo) 志端=0 ,使之處于工作狀態(tài)。當(dāng)開(kāi)關(guān)S置于"開(kāi)始"時(shí),搶答 器處于等待工作狀態(tài),當(dāng)有選手將搶答按 鍵按下時(shí) (如按下 S5) 74LS148 的輸出經(jīng) RS 鎖存后, , CTR=1,RBO =1, 七段 顯示電路74LS48處于工作狀態(tài),4Q3Q2Q=101經(jīng)譯碼顯示為?!?5”此外,CTR = 1,使74LS148優(yōu)先編碼工作標(biāo)志端=1, 處于禁止?fàn)?態(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開(kāi)即按下時(shí), 74LS148的 此時(shí) 由于仍為

10、CTR= 1,使優(yōu)先編碼工作標(biāo)志端= 1, 5 所以 74LS148 仍 處于禁止?fàn)顟B(tài), 確保不會(huì)出二次按鍵時(shí) 輸入信號(hào),保證了搶答者的優(yōu) 先性。只要有一組選手先按下?lián)?答器,就會(huì)將編碼器鎖死, 不再對(duì)其 他組進(jìn)行編碼。通過(guò) 74LS48 譯碼器使搶答組別數(shù)字顯示 0-7 。如有 再次搶答需由主持人將S 開(kāi)關(guān)重新置“清除”然后再進(jìn)行下一輪搶 答。 RS 觸發(fā)器( 74LS279) : 1. 保持狀態(tài)。當(dāng)輸入端接入 S = R =1 的電平 時(shí),如果基本SR觸發(fā)器現(xiàn)態(tài)Q =1、Q=0,則觸發(fā)器次態(tài)Q =1、 Q=0;若基本SR觸發(fā)器的 現(xiàn)態(tài)Q =0、Q =1,則觸發(fā)器次態(tài)Q =0、 Q =1 。

11、即 S = R =1 時(shí),觸發(fā)器保 持原狀態(tài)不變。 2. 置 0 狀態(tài)。當(dāng) S =1, R=0 時(shí), 如果基本 SR 觸發(fā)器現(xiàn)態(tài)為 Q =1、 Q =0,因 R =0,會(huì)使 Q =1,而 Q =1 與 S =1 共同作用使 Q 端 翻轉(zhuǎn)為 0 ; 如果基本 SR 觸發(fā)器現(xiàn)態(tài)為 Q =0、 Q =1,同理會(huì)使 Q =0, Q =1。只要輸 入信號(hào) S =1 , R =0,無(wú)論基本 SR 觸發(fā)器 的輸出現(xiàn)態(tài)如何, 均會(huì)使輸出 次態(tài)置為 0 態(tài)。 3. 置 1 狀態(tài)。 當(dāng) S =0、 R =1 時(shí),如果觸發(fā)器現(xiàn)態(tài)為 Q =0、 Q =1,因 S =0, 會(huì)使 G1 的輸出端次態(tài)翻轉(zhuǎn)為 1 ,而 Q

12、=1 和 R =1 共同使 G2 的輸 出端 Q =0 ; 同理當(dāng) Q =1 、 Q =0, 也會(huì)使觸發(fā)器的次態(tài) 輸出為 Q =1、 Q=0; 只要 S =0、 R =1,無(wú)論觸發(fā)器現(xiàn)態(tài)如何, 均會(huì)將觸發(fā)器置 1 。 4. 不定狀態(tài)。當(dāng) S = R =0 時(shí),無(wú)論觸發(fā) 器的原狀態(tài)如何,均會(huì)使 Q =1, Q =1。當(dāng)脈沖去掉后, S 和 R 同時(shí)恢復(fù)高電平后,觸發(fā)器的新 狀態(tài)要看 G1 和 G2 兩個(gè)門(mén)翻 轉(zhuǎn)速度快慢,所以稱(chēng) S = R =0 是不定狀態(tài), 在實(shí)際電路中要 避免此狀態(tài)出現(xiàn)。 I Y 4LS148 的輸入端和輸出端低電平有效。 0 I 7 是輸入信號(hào), 2 Y0 為三位二進(jìn)制編碼

13、輸出信號(hào), I S = 1時(shí),編碼器禁止編碼,當(dāng)IS = 0時(shí),允許編碼。YS是 技能輸出端,只有在I S = 0,而I 0 I 7均無(wú)編碼 輸入信號(hào)時(shí)為0。YEX為優(yōu)先編碼輸出端,在I S = 0而I 0 I 7 的其中之一有信號(hào)時(shí),YEX = 0。I 0 I 7 各輸入端的優(yōu)先順 序?yàn)椋篒 7級(jí)別最高,I 0級(jí)別最低。如果I 7 = 0 (有信號(hào)), 則其它輸入端即使有輸入信號(hào),均 不起作用,此時(shí)輸出只按I 7 編碼,Y2 Y1Y0 = 000。優(yōu)先編碼被廣泛用 于計(jì)算機(jī)控制系統(tǒng) 中,當(dāng)有多個(gè)外設(shè)申請(qǐng)中斷時(shí),優(yōu)先編碼器總是給優(yōu)先級(jí)別高的設(shè)備先編碼。A/ft7-h7t7.1XXXXXXXXi

14、L1Ii0L11111II1I00KXXXXXXDuUoui廿XXXXXKu1t)<JIui0XXXXX0I101000XXXX01110i10iXX01I1110即0i0X0111111fI0i0X011111111uLIi001L1111I11I0i74LS148的輸入端和輸出端低電平有效。I 0 I 7是輸入信號(hào),Y2 Y0為三位二進(jìn)制編碼輸出信號(hào),IS = 1時(shí),編碼 器禁止編碼,I S 當(dāng)=0時(shí),允許編碼。YS是技能輸出端, 只有在I S = 0,而I 0 I 7均無(wú)編碼輸入信號(hào)時(shí)為0。YEX 為優(yōu)先編碼輸出端,在I S = 0而I 0 I 7 的其中 之一有 信號(hào)時(shí),YEX

15、= 0。I 0 I 7各輸入端的優(yōu)先順序?yàn)椋篒 7級(jí)別最高,I 0級(jí)別最低。如果I 7 = 0 (有信號(hào)),則其它輸 入端即使有輸入信號(hào),均不起作用,此時(shí)輸出只按I 7 編碼,Y2 Y1 Y0 = 000。優(yōu)先編碼被廣泛 用于計(jì)算機(jī)控制系統(tǒng)中,當(dāng) 有多個(gè)外設(shè)申請(qǐng)中斷時(shí),優(yōu)先編碼器總是 給優(yōu)先級(jí)別高的設(shè)備 先編碼。 二進(jìn)制譯碼器是將輸入的二進(jìn)制代碼的各種狀態(tài)按特 定含義翻 譯成對(duì)應(yīng)輸出信號(hào)的電路。也稱(chēng)為變量譯碼器。若輸 入端有 n 位,代 碼組合就有 2n 個(gè),當(dāng)然可譯出 2n 個(gè)輸出信 號(hào)。 顯示譯碼器由譯碼輸出和顯示器配合使用, 最常用的是 BCD 七 段譯碼器。其輸出是驅(qū)動(dòng)七段字形的七個(gè)信

16、號(hào),常見(jiàn)產(chǎn)品型 號(hào)有 74LS48、74LS47 等。 字符顯示器: 分段式顯示是將字符 由分布在同一平面上的若干段 發(fā)光筆劃組成。電子計(jì)算器,數(shù) 字萬(wàn)用表等顯示器都是顯示分段式數(shù) 字。而 LED 數(shù)碼顯示器是 最常見(jiàn)的。通常有紅、綠、黃等顏色。 LED 的死區(qū)電壓較高,工 作電壓大約 1.53V ,驅(qū)動(dòng)電流為幾十毫安。 圖 1-3 是七段 LED 數(shù)碼管的引線(xiàn)圖和顯示數(shù)字情況。 74LS47 譯碼驅(qū)動(dòng) 器輸出是低 電平有效,所以配接的數(shù)碼管須采用共陽(yáng)極接法; 而 74LS48 譯 碼驅(qū)動(dòng)器輸出是高電平有效,所以,配接的數(shù)碼管須采用 共陰 極接法。數(shù)碼管常用型號(hào)有 BS201、BS202等。圖

17、1-4 (a)是 共 陰式 LED 數(shù)碼管的原理圖, 使用時(shí),公陰極接地, 7 個(gè)陽(yáng)極 ag 由 相應(yīng)的 BCD 七段譯碼器來(lái)驅(qū)動(dòng)。 五、產(chǎn)品實(shí)物圖六、設(shè)計(jì)體驗(yàn)及收獲這次課程設(shè)計(jì)的電路是比較復(fù)雜的。雖然以前在模電中接觸過(guò)一些電子電路,但在初期還是感到無(wú)從下手。在整個(gè)電路的設(shè)計(jì) 過(guò)程 中,花費(fèi)時(shí)間最多的是各個(gè)單元電路的連接及電路的細(xì)節(jié) 設(shè)計(jì)上,在 方案的選擇中,我們仔細(xì)分析其原理以及可行的原 因,最后還是在仿 真多次對(duì)電路的改進(jìn),終于使整個(gè)電路可穩(wěn) 定工作。設(shè)計(jì)過(guò)程中,我 深刻的體會(huì)到在設(shè)計(jì)過(guò)程中,需要反 復(fù)實(shí)踐, 其過(guò)程很可能相當(dāng)煩瑣, 有時(shí)花很長(zhǎng)時(shí)間設(shè)計(jì)出來(lái)的 電路還是需要重做, 那時(shí)心中未

18、免有點(diǎn)灰 心,有時(shí)還特別想放 棄,此時(shí)更加需要靜下心,查找原因。設(shè)計(jì)思路 是最重要的, 只要你的設(shè)計(jì)思路是成功的,那你的設(shè)計(jì)已經(jīng)成功了一 半。因 此我們應(yīng)該在設(shè)計(jì)前做好充分的準(zhǔn)備, 像查找詳細(xì)的資料, 為 我 們?cè)O(shè)計(jì)的成功打下堅(jiān)實(shí)的基礎(chǔ)。 設(shè)計(jì)單元電路階段, 這個(gè)階段 可以說(shuō)是考察數(shù)電書(shū)本知識(shí)的階段。 所有的設(shè)計(jì)方法還有步驟 在數(shù)電書(shū)上都有, 而且還有例題。 這個(gè)階段遇 到的主要問(wèn)題 就是以前的知識(shí)忘記不少, 所以做設(shè)計(jì)的時(shí)候要常隨手翻 閱課 本,等于是做了幾道數(shù)電作業(yè)題。這個(gè)階段的難度也不是很大, 一 般翻課本就可以找到答案并解決問(wèn)題。 實(shí)驗(yàn)階段可以說(shuō)是這 次設(shè)計(jì)中最重要的部分,因?yàn)橐郧暗闹?/p>

19、是理 論而不是真正的實(shí) 體。 所以說(shuō)它是最重要的。 實(shí)驗(yàn)階段我們遇到的問(wèn)題 有:對(duì) 軟件不熟悉; 對(duì)實(shí)驗(yàn)過(guò)程中信號(hào)的測(cè)量知識(shí)學(xué)習(xí)很少; 因?yàn)楦鱾€(gè) 模塊是分開(kāi)做而后又組裝到一起的, 所以兼容性不是很好 (也 就是不能 融合為一個(gè)整體,部分工作能行但是接到一起就會(huì)出 現(xiàn)問(wèn)題),而且在 接連電路板時(shí), 還應(yīng)該仔細(xì)考慮, 合理安排芯 片的位置,這樣在接線(xiàn)路 時(shí)才能方便,接好的電路才美觀(guān),符 合要求。 制作過(guò)程是一個(gè)考驗(yàn)人耐心的過(guò)程, 不能有絲毫的急 躁, 電路的焊 接要一步一步來(lái),焊點(diǎn)多,走線(xiàn)復(fù)雜。這又要我 們要靈活處理,一邊操 作一邊構(gòu)思,在不影響試驗(yàn)的前提下加 快進(jìn)度。 另外就是要熟練地掌握課本上的知識(shí), 這樣才能對(duì)試驗(yàn)中出現(xiàn)的問(wèn) 題進(jìn)行分析解決。這是應(yīng)用課本知識(shí)的大好時(shí)機(jī)。 總之,通過(guò)這

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論