畢業(yè)設(shè)計(jì)(論文):數(shù)字頻率計(jì)畢業(yè)設(shè)計(jì)2011-精品_第1頁(yè)
畢業(yè)設(shè)計(jì)(論文):數(shù)字頻率計(jì)畢業(yè)設(shè)計(jì)2011-精品_第2頁(yè)
畢業(yè)設(shè)計(jì)(論文):數(shù)字頻率計(jì)畢業(yè)設(shè)計(jì)2011-精品_第3頁(yè)
畢業(yè)設(shè)計(jì)(論文):數(shù)字頻率計(jì)畢業(yè)設(shè)計(jì)2011-精品_第4頁(yè)
畢業(yè)設(shè)計(jì)(論文):數(shù)字頻率計(jì)畢業(yè)設(shè)計(jì)2011-精品_第5頁(yè)
已閱讀5頁(yè),還剩30頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 鶴壁職業(yè)技術(shù)學(xué)院 畢業(yè) 設(shè)計(jì) 題 目: 數(shù)字頻率計(jì) 指導(dǎo)老師: 于軍 專 業(yè): 應(yīng)用電子 院 系: 電子信息工程系 姓 名: 羅娟 班 級(jí): 電子學(xué) 號(hào): 0703211034摘要在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測(cè)量方案、測(cè)量結(jié)果都有十分密切的關(guān)系,因此頻率的測(cè)量就顯得更為重要。測(cè)量頻率的方法有多種,其中電子計(jì)數(shù)器測(cè)量頻率具有精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過程自動(dòng)化等優(yōu)點(diǎn),是頻率測(cè)量的重要手段之一。電子計(jì)數(shù)器測(cè)頻有兩種方式:一是直接測(cè)頻法,即在一定閘門時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù);二是間接測(cè)頻法,如周期測(cè)頻法。直接測(cè)頻法適用于高頻信號(hào)的頻率測(cè)量,間接測(cè)頻

2、法適用于低頻信號(hào)的頻率測(cè)量。本文闡述了基于通用集成電路設(shè)計(jì)了一個(gè)簡(jiǎn)單的數(shù)字頻率計(jì)的過程。頻率計(jì)又稱為頻率計(jì)數(shù)器,是一種專門對(duì)被測(cè)信號(hào)頻率進(jìn)行測(cè)量的電子測(cè)量?jī)x器。其最基本的工作原理為:當(dāng)被測(cè)信號(hào)在特定時(shí)間段T內(nèi)的周期個(gè)數(shù)為N時(shí),則被測(cè)信號(hào)的頻率f=N/T。 頻率計(jì)主要由四個(gè)部分構(gòu)成:時(shí)基(T)電路、輸入電路、計(jì)數(shù)顯示電路以及控制電路。在一個(gè)測(cè)量周期過程中,被測(cè)周期信號(hào)在輸入電路中經(jīng)過放大、整形、微分操作之后形成特定周期的窄脈沖,送到主門的一個(gè)輸入端。主門的另外一個(gè)輸入端為時(shí)基電路產(chǎn)生電路產(chǎn)生的閘門脈沖。在閘門脈沖開啟主門的期間,特定周期的窄脈沖才能通過主門,從而進(jìn)入計(jì)數(shù)器進(jìn)行計(jì)數(shù),計(jì)數(shù)器的顯示電

3、路則用來(lái)顯示被測(cè)信號(hào)的頻率值,內(nèi)部控制電路則用來(lái)完成各種測(cè)量功能之間的切換并實(shí)現(xiàn)測(cè)量設(shè)置。 在傳統(tǒng)的電子測(cè)量?jī)x器中,示波器在進(jìn)行頻率測(cè)量時(shí)測(cè)量精度較低,誤差較大。頻譜儀可以準(zhǔn)確的測(cè)量頻率并顯示被測(cè)信號(hào)的頻譜,但測(cè)量速度較慢,無(wú)法實(shí)時(shí)快速的跟蹤捕捉到被測(cè)信號(hào)頻率的變化。正是由于頻率計(jì)能夠快速準(zhǔn)確的捕捉到被測(cè)信號(hào)頻率的變化,因此,頻率計(jì)擁有非常廣泛的應(yīng)用范圍。在傳統(tǒng)的生產(chǎn)制造企業(yè)中,頻率計(jì)被廣泛的應(yīng)用在產(chǎn)線的生產(chǎn)測(cè)試中。頻率計(jì)能夠快速的捕捉到晶體振蕩器輸出頻率的變化,用戶通過使用頻率計(jì)能夠迅速的發(fā)現(xiàn)有故障的晶振產(chǎn)品,確保產(chǎn)品質(zhì)量。在計(jì)量實(shí)驗(yàn)室中,頻率計(jì)被用來(lái)對(duì)各種電子測(cè)量設(shè)備的本地振蕩器進(jìn)行校準(zhǔn)。

4、在無(wú)線通訊測(cè)試中,頻率計(jì)既可以被用來(lái)對(duì)無(wú)線通訊基站的主時(shí)鐘進(jìn)行校準(zhǔn),還可以被用來(lái)對(duì)無(wú)線電臺(tái)的跳頻信號(hào)和頻率調(diào)制信號(hào)進(jìn)行分析。目錄一、引言3(1.1) 引言1(1.2) 數(shù)字頻率計(jì)概述2(1.3) 數(shù)字電路概述3二、 數(shù)字頻率計(jì)的基本原理4(2.1) 數(shù)字頻率計(jì)的基本組成5(2.2) 數(shù)字頻率計(jì)的原理框圖與波形圖6(2.3) 數(shù)字頻率計(jì)的原理圖7三、 數(shù)字頻率計(jì)的基本電路設(shè)計(jì)9(3.1)放大整形電路 9(3.2)時(shí)基電路 10(3.3)邏輯控制電路 11(3.4)輸出實(shí)現(xiàn)器 1

5、2 (3.5) 擴(kuò)展電路設(shè)計(jì)14(3.6)電路調(diào)試 16四、 結(jié)束語(yǔ)2(4.1)結(jié)論17(42 )致謝18附錄:A:原理圖.19 B:參考文獻(xiàn).20 C:元件清單.21、 引言1.1引言數(shù)字頻率計(jì)是一種用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率的數(shù)字測(cè)量?jī)x器,它的基本功能是測(cè)量正弦信號(hào)、方波信號(hào)。本課程設(shè)計(jì)介紹了頻率計(jì)的設(shè)計(jì)方案及其基本原理,并著重介紹了頻率計(jì)各單元電路的設(shè)計(jì)思路,原理,整體電路的的工作原理,控制器件的工作情況。整個(gè)設(shè)計(jì)配以電路圖和波形圖加以輔助說(shuō)明,便于理解頻率計(jì)的工作情況。設(shè)計(jì)共有三大組成部分:一是原理電路的設(shè)計(jì),本部分詳細(xì)講解了電路的理論實(shí)現(xiàn),是關(guān)鍵部分;二是參數(shù)設(shè)置,為了分

6、析電路的參數(shù)以及參數(shù)的設(shè)置,便于理解。三是性能測(cè)試,這部分用于測(cè)試設(shè)計(jì)是否符合任務(wù)要求。整個(gè)設(shè)計(jì)淺顯易懂,結(jié)構(gòu)嚴(yán)謹(jǐn),是一份完整的課程設(shè)計(jì)。本次設(shè)計(jì)有有七大部分組成,其中有放大電路,閘門電路,計(jì)數(shù)器,鎖存器,譯碼器器,顯示器和邏輯控制電路。所用到的元器件組成的部分有晶體管3DG100和74LS00組成的放大整形電路,定時(shí)器555構(gòu)成的多諧振蕩器,74LS273鎖存器和兩個(gè)單穩(wěn)態(tài)觸發(fā)器74LS123組成的邏輯控制電路。一下會(huì)對(duì)這些器件的工作原理加以說(shuō)明。 1.2、數(shù)字頻率計(jì)概述在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測(cè)量方案、測(cè)量結(jié)果都有十分密切的關(guān)系,因此,頻率的測(cè)量就顯得更為重

7、要。測(cè)量頻率的方法有多種,其中電子計(jì)數(shù)器測(cè)量頻率具有精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過程自動(dòng)化等優(yōu)點(diǎn),是頻率測(cè)量的重要手段之一。電子計(jì)數(shù)器測(cè)頻有兩種方式:一是直接測(cè)頻法,即在一定閘門時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù);二是間接測(cè)頻法,如周期測(cè)頻法。直接測(cè)頻法適用于高頻信號(hào)的頻率測(cè)量,間接測(cè)頻法適用于低頻信號(hào)的頻率測(cè)量。本次設(shè)計(jì)的數(shù)字頻率計(jì)以AT89C52為核心,在軟件編程中采用的是C51語(yǔ)言,測(cè)量采用了多周期同步測(cè)量法,它避免了直接測(cè)量法對(duì)精度的不足,同時(shí)消除了直接與間接相結(jié)合方法,需對(duì)被測(cè)信號(hào)的頻率與中介頻率的關(guān)系進(jìn)行判斷帶來(lái)的不便,能實(shí)現(xiàn)較高的等精度頻率和周期的測(cè)量目前,頻率和時(shí)間的

8、測(cè)量已越來(lái)越受到重視,長(zhǎng)度、電壓等參數(shù)也可以轉(zhuǎn)化為與頻率測(cè)量有關(guān)的技術(shù)來(lái)確定。數(shù)字頻率計(jì)是一種基本的測(cè)量?jī)x器。它被廣泛應(yīng)用與航天、電子、測(cè)控等領(lǐng)域。它的基本測(cè)量原理是,首先讓被測(cè)信號(hào)與標(biāo)準(zhǔn)信號(hào)一起通過一個(gè)閘門,然后用計(jì)數(shù)器計(jì)數(shù)信號(hào)脈沖的個(gè)數(shù),把標(biāo)準(zhǔn)時(shí)間內(nèi)的計(jì)數(shù)的結(jié)果,用鎖存器鎖存起來(lái),然后用顯示譯碼器把鎖存的結(jié)果送入顯示器顯示出來(lái)。根據(jù)數(shù)字頻率計(jì)的基本原理,本設(shè)計(jì)方案的基本思想是分為五個(gè)模塊來(lái)實(shí)現(xiàn)其功能,即整個(gè)數(shù)字頻率計(jì)系統(tǒng)分為信號(hào)予處理模塊、閘門電路、計(jì)數(shù)模塊、時(shí)基門控模塊和顯示模塊等幾個(gè)單元。1.3.數(shù)字電路概述 定義:用數(shù)字信號(hào)完成對(duì)數(shù)字量進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算的電路稱為數(shù)字電路,或數(shù)字

9、系統(tǒng)。由于它具有邏輯運(yùn)算和邏輯處理功能,所以又稱數(shù)字邏輯電路?,F(xiàn)代的數(shù)字電路由半導(dǎo)體工藝制成的若干數(shù)字集成器件構(gòu)造而成。邏輯門是數(shù)字邏輯電路的基本單元。存儲(chǔ)器是用來(lái)存儲(chǔ)二值數(shù)據(jù)的數(shù)字電路。從整體上看,數(shù)字電路可以分為組合邏輯電路和時(shí)序邏輯電路兩大類。從前面的介紹,大家已經(jīng)了解到數(shù)字電路是以二值數(shù)字邏輯為基礎(chǔ)的,其工作信號(hào)是離散的數(shù)字信號(hào)。電路中的電子晶體管工作于開關(guān)狀態(tài),時(shí)而導(dǎo)通,時(shí)而截止。數(shù)字電路的發(fā)展與模擬電路一樣經(jīng)歷了由電子管、半導(dǎo)體分立器件到集成電路等幾個(gè)時(shí)代。但其發(fā)展比模擬電路發(fā)展的更快。從60年代開始,數(shù)字集成器件以雙極型工藝制成了小規(guī)模邏輯器件。隨后發(fā)展到中規(guī)模邏輯器件;70年代

10、末,微處理器的出現(xiàn),使數(shù)字集成電路的性能產(chǎn)生質(zhì)的飛躍。數(shù)字集成器件所用的材料以硅材料為主,在高速電路中,也使用化合物半導(dǎo)體材料,例如砷化鎵等。邏輯門是數(shù)字電路中一種重要的邏輯單元電路 。TTL邏輯門電路問世較早,其工藝經(jīng)過不斷改進(jìn),至今仍為主要的基本邏輯器件之一。隨著CMOS工藝的發(fā)展,TTL的主導(dǎo)地位受到了動(dòng)搖,有被CMOS器件所取代的趨勢(shì)。近年來(lái),可編程邏輯器件PLD特別是現(xiàn)場(chǎng)可編程門陣列FPGA的飛速進(jìn)步,使數(shù)字電子技術(shù)開創(chuàng)了新局面,不僅規(guī)模大,而且將硬件與軟件相結(jié)合,使器件的功能更加完善,使用更靈活。 二、數(shù)字頻率計(jì)的基本原理2.1、數(shù)字頻率計(jì)的基本組成數(shù)字頻率計(jì)的基本原理是用一個(gè)頻率

11、穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,對(duì)比測(cè)量其他信號(hào)的頻率。通常情況下計(jì)算每秒內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù),此時(shí)我們稱閘門時(shí)間為1秒。閘門時(shí)間也可以大于或小于一秒。閘門時(shí)間越長(zhǎng),得到的頻率值就越準(zhǔn)確,但閘門時(shí)間越長(zhǎng)則沒測(cè)一次頻率的間隔就越長(zhǎng)。閘門時(shí)間越短,測(cè)的頻率值刷新就越快,但測(cè)得的頻率精度就受影響。數(shù)字頻率計(jì)是用數(shù)字顯示被測(cè)信號(hào)頻率的儀器,被測(cè)信號(hào)可以是正弦波,方波或其它周期性變化的信號(hào),數(shù)字頻率計(jì)是一種應(yīng)用很廣泛的儀器電子系統(tǒng)非常廣泛的應(yīng)用領(lǐng)域內(nèi),到處可見到處理離散信息的數(shù)字電路。數(shù)字電路制造工業(yè)的進(jìn)步,使得系統(tǒng)設(shè)計(jì)人員能在更小的空間內(nèi)實(shí)現(xiàn)更多的功能,從而提高系統(tǒng)可靠性和速度。集成電路的類型很多,從大

12、的方面可以分為模擬電路和數(shù)字集成電路2大類。數(shù)字集成電路廣泛用于計(jì)算機(jī)、控制與測(cè)量系統(tǒng),以及其它電子設(shè)備中。一般說(shuō)來(lái),數(shù)字系統(tǒng)中運(yùn)行的電信號(hào),其大小往往并不改變,但在實(shí)踐分布上卻有著嚴(yán)格的要求,這是數(shù)字電路的一個(gè)特點(diǎn)。數(shù)字集成電路作為電子技術(shù)最重要的基礎(chǔ)產(chǎn)品之一,已廣泛地深入到各個(gè)行業(yè)中。所謂頻率,就是周期性信號(hào)在單位時(shí)間(1s)內(nèi)變化的次數(shù)。若在一定時(shí)間間隔T秒內(nèi)測(cè)得這個(gè)周期性信號(hào)的重復(fù)變化次數(shù)為N,則其頻率可表示為:f=N/T2.2、數(shù)字頻率計(jì)的組成框圖與波形圖圖1是數(shù)字頻率計(jì)的組成框圖。被測(cè)信號(hào)v x 經(jīng)放大整形電路變成計(jì)數(shù)器所要求的脈沖信號(hào)I,其頻率與被測(cè)信號(hào)的頻率f x 相同。時(shí)基電

13、路提供標(biāo)準(zhǔn)時(shí)間基準(zhǔn)信號(hào)II,其高電平持續(xù)時(shí)間t1=1 秒,當(dāng)l秒信號(hào)來(lái)到時(shí),閘門開通,被測(cè)脈沖信號(hào)通過閘門,計(jì)數(shù)器開始計(jì)數(shù),直到l秒信號(hào)結(jié)束時(shí)閘門關(guān)閉,停止計(jì)數(shù)。若在閘門時(shí)間1s內(nèi)計(jì)數(shù)器計(jì)得的脈沖個(gè)數(shù)為N, 則被測(cè)信號(hào)頻率f x =NHz。邏輯控制電路的作用有兩個(gè):一是產(chǎn)生鎖存脈沖IV,使顯示器上的數(shù)字穩(wěn)定;二是產(chǎn)生清“0”脈沖V,使計(jì)數(shù)器每次測(cè)量從零開始計(jì)數(shù)。各信號(hào)之間的時(shí)序關(guān)系如圖1所示。數(shù)字頻率計(jì)的組成框圖和原理圖2.3、數(shù)字頻率計(jì)的原理圖設(shè)計(jì)原理頻率計(jì)的基本原理是用一個(gè)頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,對(duì)比測(cè)量其他信號(hào)的頻率。通常情況下計(jì)算每秒內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù),此時(shí)我們稱閘門時(shí)間為

14、1秒。閘門時(shí)間也可以大于或小于一秒。閘門時(shí)間越長(zhǎng),得到的頻率值就越準(zhǔn)確,但閘門時(shí)間越長(zhǎng)則沒測(cè)一次頻率的間隔就越長(zhǎng)。閘門時(shí)間越短,測(cè)的頻率值刷新就越快,但測(cè)得的頻率精度就受影響。本文。數(shù)字頻率計(jì)是用數(shù)字顯示被測(cè)信號(hào)頻率的儀器,被測(cè)信號(hào)可以是正弦波,方波或其它周期性變化的信號(hào)。如配以適當(dāng)?shù)膫鞲衅?,可以?duì)多種物理量進(jìn)行測(cè)試,比如機(jī)械振動(dòng)的頻率,轉(zhuǎn)速,聲音的頻率以及產(chǎn)品的計(jì)件等等。因此,數(shù)字頻率計(jì)是一種應(yīng)用很廣泛的儀器。555 定時(shí)器,分級(jí)分頻系統(tǒng)及門控制電路得到具有固定寬度T的方波脈沖做門控制信號(hào),時(shí)間基準(zhǔn)T稱為閘門時(shí)間.寬度為T的方波脈沖控制閘門的一個(gè)輸入端B.被測(cè)信號(hào)頻率為fx,周期Tx.到閘門

15、另一輸入端A.當(dāng)門控制電路的信號(hào)到來(lái)后,閘門開啟,周期為Tx的信號(hào)脈沖和周期為T的門控制信號(hào)結(jié)束時(shí)過閘門,于輸出端C 產(chǎn)生脈沖信號(hào)到計(jì)數(shù)器,計(jì)數(shù)器開始工作,直到門控信號(hào)結(jié)束,閘門關(guān)閉.單穩(wěn)1的暫態(tài)送入鎖存器的使能端,鎖存器將計(jì)數(shù)結(jié)果鎖存,計(jì)數(shù)器停止計(jì)數(shù)并被單穩(wěn)2暫態(tài)清零. (簡(jiǎn)單地說(shuō)就是:在時(shí)基電路脈沖的上升沿到來(lái)時(shí)閘門開啟,計(jì)數(shù)器開始計(jì)數(shù),在同一脈沖的下降沿到來(lái)時(shí),閘門關(guān)閉,計(jì)數(shù)器停止計(jì)數(shù).同時(shí),鎖存器產(chǎn)生一個(gè)鎖存信號(hào)輸送到鎖存器的使能端將結(jié)果鎖存,并把鎖存結(jié)果輸送到譯碼器來(lái)控制七段顯示器,這樣就可以得到被測(cè)信號(hào)的數(shù)字顯示的頻率.而在鎖存信號(hào)的下降沿到來(lái)時(shí)邏輯控制電路產(chǎn)生一個(gè)清零信號(hào)將計(jì)數(shù)器

16、清零,為下一次測(cè)量做準(zhǔn)備,實(shí)現(xiàn)了可重復(fù)使用,避免兩次測(cè)量結(jié)果相加使結(jié)果產(chǎn)生錯(cuò)誤.) 若T=1s,計(jì)數(shù)器顯示fx=N(T時(shí)間內(nèi)的通過閘門信號(hào)脈沖個(gè)數(shù)) 若T=0.1s,通過閘門脈沖個(gè)數(shù)位N時(shí),fx=10N,(閘門時(shí)間為0.1s時(shí)通過閘門的脈沖個(gè)數(shù)).也就是說(shuō),被測(cè)信號(hào)的頻率計(jì)算公式是fx=N/T.由此可見,閘門時(shí)間決定量程,可以通過閘門時(shí)基選擇開關(guān),選擇T大一些,測(cè)量準(zhǔn)確度就高一些,T小一些,則測(cè)量準(zhǔn)確度就低.根據(jù)被測(cè)頻率選擇閘門時(shí)間來(lái)控制量程.其原理圖如下:圖2 三、數(shù)字頻率計(jì)的電路組成3.1、放大整形電路圖3放大整形電路圖放大整形電路由晶體管3DG100與74LS00等組成,其中3DGl00

17、組成放大器將輸入頻率。為f x 的周期信號(hào)如正弦波、三角波等進(jìn)行放大,與非門74LS00構(gòu)成施密特觸發(fā)器,它對(duì)放 大器的輸出信號(hào)進(jìn)行整形,使之成為矩形脈沖。3.2、時(shí)基電路圖4時(shí)基電路時(shí)基電路的作用是產(chǎn)生一個(gè)標(biāo)準(zhǔn)時(shí)間信號(hào)(高電平持續(xù)時(shí)間為 1s),由定時(shí)器555構(gòu)成的多諧振蕩器產(chǎn)生。若振蕩器的頻率 f0 1/(t1 + t 2 )= 0.8Hz,則振蕩器的輸出波形如圖1中的波形II所示,其中t1=1s,t2=0.25s。由公式t1=0.7(R1+R2)C和t2=0.7R2C,可計(jì)算出電阻R1、R2及電容C的值,則C=10Uf,R2= t2/0.7C=35.7K取標(biāo)稱值36 KR1= (t1/0

18、.7C)- R2=107K取R1=47 K,RP=100 K3.3、邏輯控制電路圖5邏輯控制電路根據(jù)圖1所示波形,在計(jì)數(shù)信號(hào)II結(jié)束時(shí)產(chǎn)生鎖存信號(hào)IV,鎖存信號(hào)IV 結(jié)束時(shí)產(chǎn)生 清“0”信號(hào) V。脈沖信號(hào)IV和V可由兩個(gè)單穩(wěn)態(tài)觸發(fā)器74LS123產(chǎn)生,它們的脈沖寬度由電路的時(shí)間常數(shù)決定。設(shè)所存信號(hào)IV和清“0”信號(hào)V的脈沖寬度tw相同,如果要求tw=0.02s,則得tw=0.45RextCext=0.02s 若取 Rext=10k,則 Cext=tw/0.45Rext=4.4F。由74LS123的功能(見下表1)可得,當(dāng) 1R D 1B =1 觸發(fā)脈沖從1A端輸入時(shí),在觸發(fā)脈沖的負(fù)跳變作用下,

19、輸出端1Q可獲得一負(fù)脈沖,其波形關(guān)系正好滿足圖1所示的波形IV和V的要求。手動(dòng)復(fù)位開關(guān)S按下時(shí),計(jì)數(shù)器清“0”。功能表如下:表174LS123功芯片能表3.4、輸出實(shí)現(xiàn)器圖6頻率計(jì)算器表274LS90的不同接線方法鎖存器:鎖存器的作用是將計(jì)數(shù)器在1s結(jié)束時(shí)所計(jì)得的數(shù)進(jìn)行鎖存,使顯示器上能穩(wěn)定地顯示 此時(shí)計(jì)數(shù)器的值。如圖所示,1s計(jì)數(shù)時(shí)間結(jié)束時(shí),邏輯控制電路發(fā)出鎖存信號(hào)IV,將此時(shí)計(jì)數(shù)器的值送譯碼顯示器,選用兩個(gè)8位鎖存器74L273可以完成上述功能。當(dāng)時(shí)鎖存信號(hào)CP的正跳變來(lái)到時(shí),鎖存器的輸出等于輸入,從而將計(jì)數(shù)器的輸出值送到鎖存器的輸出端。高電平結(jié)束后, 無(wú)論D為何值,輸出端的狀態(tài)仍保持原來(lái)

20、的狀態(tài)不變,所以在計(jì)數(shù)期間內(nèi),計(jì)數(shù)器的輸出不會(huì)送到譯碼顯示器。表374LS273功能表表474LS43.5擴(kuò)展電路設(shè)計(jì)圖2所示的是數(shù)字頻率計(jì)電路,其測(cè)量的最高頻率只能為9.999kHz,完成一次測(cè)量的時(shí)間約1.25s。若被測(cè)信號(hào)頻率增加到數(shù)百千赫茲或數(shù)兆赫茲,則需要增加頻率范圍擴(kuò)展電路。頻率范圍擴(kuò)展電路如圖7.3.3所示,該電路可實(shí)現(xiàn)頻率量程的自動(dòng)轉(zhuǎn)換。其工作原理是:當(dāng)被測(cè)信號(hào)頻率升高,千位計(jì)數(shù)器已滿,需要升量程時(shí),計(jì)數(shù)器的最高位產(chǎn)生進(jìn)位脈沖Q3,送到由74LS92與兩個(gè)D觸發(fā)器共同構(gòu)成的進(jìn)位脈沖采集電路。第一個(gè)D觸發(fā)器的1D端接高電平,當(dāng)Q3的下跳沿來(lái)到時(shí),74LS92的Q0端輸出主電平,則

21、第一個(gè)D觸發(fā)器的1Q端產(chǎn)生進(jìn)脈沖并保持到清零脈沖到來(lái)。該進(jìn)們脈沖使多路數(shù)據(jù)選擇器74LS151的地址計(jì)數(shù)器74LS90加1,多路數(shù)據(jù)選擇器將選通下一路輸入信號(hào),即上一次頻率低10倍的分頻率信號(hào),由于此時(shí)個(gè)位計(jì)數(shù)器的輸入脈沖的頻率比被測(cè)頻率fx低10倍,故要將顯示器的數(shù)乘以10才能得到被測(cè)頻率值,這可以通過移動(dòng)顯示器上小數(shù)占的位置來(lái)實(shí)現(xiàn)。如圖7.3.3所示,若被測(cè)信號(hào)不經(jīng)過分頻(100°輸出),顯示器上的最大值為9.999kHz,若經(jīng)過101的一次分頻后,器上的最大值為99.99kHz,即小數(shù)點(diǎn)每向右移動(dòng)一位,頻率的測(cè)量范圍擴(kuò)大10倍。進(jìn)位脈沖采集電路的作用是使電路工作穩(wěn)定,避免當(dāng)千位

22、計(jì)數(shù)中位器計(jì)到8或9時(shí),產(chǎn)生小數(shù)點(diǎn)的跳動(dòng)。第二個(gè)D觸發(fā)器用來(lái)控制清零,即有進(jìn)位脈沖時(shí)電路不清零,而無(wú)進(jìn)位時(shí)則清零。當(dāng)被測(cè)頻率降低需要轉(zhuǎn)換到低量程時(shí),可用千位(最高位)是否為0來(lái)判斷。在此利用千位譯碼器74LS48的滅0輸出端RBO,當(dāng)RBO端為0時(shí),輸出為0,這時(shí)就需要降量程。因此,取其非作為地址計(jì)數(shù)器74LS90的清零脈沖。為了能把高位多余的0熄滅,只需把高位的滅0輸入端RBI接地,同時(shí)把高位的RBO與低位的RBI相連即可。由此可見,只有當(dāng)檢測(cè)到最高位為“0”,并且在該1s內(nèi)沒有進(jìn)位脈沖時(shí),地址計(jì)數(shù)器才清零復(fù)位,即轉(zhuǎn)換到最低量程,然后再按升量和的原理自動(dòng)換擋,直至找到合適的量程。若將地址譯碼

23、器74LS138的輸出端取非,變成高電平以驅(qū)動(dòng)顯示器的小數(shù)點(diǎn)h,則可顯示擴(kuò)展的頻率范圍。圖7:頻率范圍擴(kuò)展電路3.6電路調(diào)試接通電源后,用雙蹤示波器(輸入耦合方式置DC擋)觀察時(shí)基電路的輸出波形,應(yīng)如7.3.1(b)所示的波形,其中t1=1s,t2=0.25s,否則重新調(diào)節(jié)時(shí)基電路中的R1和R2的值,使其滿足要求。然后,改變示波器的掃描速率旋鈕,觀察74LS123的腳和腳的波形,應(yīng)有如圖7.3.1(b)所示的鎖存脈沖和清零脈沖的波形。將4片計(jì)數(shù)器74LS90的腳全部接氏電平,鎖存器74LS273的腳都接時(shí)鐘脈沖,在個(gè)位計(jì)數(shù)器的腳加入計(jì)數(shù)脈沖,檢查4位鎖存、譯碼、顯示器的工作是否正常。在放大電路

24、輸入端加入f=1kHz,Vp-p=1V的正弦信號(hào),用示波器觀察放大和整形電路的輸出波形,應(yīng)為與被測(cè)信號(hào)屆頻率的脈沖波,顯示器上的讀數(shù)應(yīng)為1000Hz。四、結(jié)束語(yǔ)4.1.結(jié)論:通過這次的課程設(shè)計(jì),在于軍老師熱心輔導(dǎo)和指導(dǎo)下順利完成啦。通過本次的課程設(shè)計(jì),加深了我對(duì)數(shù)字電子技術(shù)模擬電子兩門課程的理解,強(qiáng)化了我對(duì)相關(guān)知識(shí)的記憶,提高了我對(duì)所學(xué)知識(shí)的應(yīng)用。這極大擴(kuò)展了我的視野,更加激發(fā)了我對(duì)這門課程的熱愛,在設(shè)計(jì)的過程中,由于綜合應(yīng)用了各種學(xué)習(xí)、應(yīng)用軟件,例如:word、auto CAD、protel繪圖等,不但整體上改了技能,還能從中獲得了成就感。通過這次設(shè)計(jì),總的感覺是:有收獲。以前上課都是上一些最基本的東西而現(xiàn)在卻可以將以前學(xué)的東西作出有實(shí)際價(jià)值的東西。在這個(gè)過程中,我的確學(xué)得到很多在書本上學(xué)不到的東西,如:如何利用所需元件設(shè)計(jì)和用計(jì)算機(jī)來(lái)畫圖等等。但也遇到了不少的挫折,有時(shí)遇到了一個(gè)錯(cuò)誤怎么找也找不到原因所在,找了老半天結(jié)果卻是元件放錯(cuò)地方,有時(shí)更是忘了畫電源,設(shè)計(jì)中的題在課堂上不可能犯,在動(dòng)手的過程中卻很有可能犯。特

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論