二進制振幅鍵控(ASK)調(diào)制器與解調(diào)器設計_第1頁
二進制振幅鍵控(ASK)調(diào)制器與解調(diào)器設計_第2頁
二進制振幅鍵控(ASK)調(diào)制器與解調(diào)器設計_第3頁
二進制振幅鍵控(ASK)調(diào)制器與解調(diào)器設計_第4頁
二進制振幅鍵控(ASK)調(diào)制器與解調(diào)器設計_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、武漢理工大學FPGA原理及應用設計報告課程設計任務書學生姓名: 專業(yè)班級: 通信 指導教師: 工作單位: 信息工程學院 題目: 二進制振幅鍵控(ASK)調(diào)制器與解調(diào)器設計要求完成的主要任務: (包括課程設計工作量及其技術要求,以及說明書撰寫等具體要求)數(shù)字信號對載波振幅調(diào)制稱為振幅鍵控即 ASK(AmplitudeShift Keying)。ASK有兩種實現(xiàn)方法: 1.乘法器實現(xiàn)法 2.鍵控法 為適應自動發(fā)送高速數(shù)據(jù)的要求,鍵控法中的電鍵可以利用各種形式的受基帶信號控制的電子開關來實現(xiàn),代替電鍵產(chǎn)生ASK信號,是用基帶信號控制與非門的開閉,實現(xiàn)ASK調(diào)制,產(chǎn)生信號。ASK解調(diào)方法有兩種1. 同

2、步解調(diào)法2. 包絡解調(diào)法。時間安排:指導教師簽名: 年 月 日系主任(或責任教師)簽名: 年 月 日目錄摘要IAbstractII1. 緒論11.1 本課題的研究現(xiàn)狀11.2 選題目的意義122ASK系統(tǒng)工作原理及數(shù)學模型22.1 2ASK的調(diào)制原理及設計方法23.2ASK各個模塊的設計43.1 2ASK的調(diào)制部分43.2 2ASK解調(diào)部分44.VHDL程序設計54.1 2ASK調(diào)制部分程序設計54.2 2ASK解調(diào)程序設計65. 2ASK的仿真結果及分析75.1Quartus II的介紹75.2Quartus II的優(yōu)點75.3 2ASK調(diào)制仿真85.4 2ASK解調(diào)仿真96.總結117.參

3、考文獻12附錄13摘要從信號傳輸質(zhì)量來看,數(shù)字系統(tǒng)優(yōu)于模擬系統(tǒng),在數(shù)字通信網(wǎng)中,除了考慮抗干擾能力外,還要考慮容量和頻率資源利用率等重要技術指標。在數(shù)字傳輸系統(tǒng)中,數(shù)字信號對高頻載波進行調(diào)制,變成頻帶信號,在接收端進行解調(diào),恢復原數(shù)字信號對載波的控制分為振幅調(diào)制即振幅鍵控(ASK)。如今,F(xiàn)PGA在通信領域得到了廣泛的應用,利用FPGA性能優(yōu)越,使用方便的特點,可以簡化振幅調(diào)制解調(diào)電路的設計,而且易于反復編寫和修改程序。本次實驗運用VHDL語言進行基于FPGA的振幅鍵控調(diào)制電路和解調(diào)電路設計的實現(xiàn)方案,給出了程序設計和仿真結果。完成了二進制基帶數(shù)字信號的調(diào)制的解調(diào),得到相應的調(diào)制信號和解調(diào)。關

4、鍵詞:FPGA 二進制振幅鍵控 ASK 調(diào)制 解調(diào)AbstractFrom the quality of signal transmission, the digital system is better than analog system, in the digital communication network, in addition to considering the anti-interference ability, but also consider the important technical indexes of capacity and frequency resou

5、rce utilization. In digital transmission system, the digital signal to modulate the high frequency carrier, to the frequency signal, demodulation at the receiving end to restore the original digital signal, control the carrier amplitude modulation is divided into amplitude shift keying (ASK). Now, F

6、PGA has been widely used in the field of communication, the use of FPGA superior performance, convenient use, it can simplify the design of amplitude modulation and demodulation circuit, but also easy to repeatedly write and modify the program. The experiment use the VHDL language to realize the sch

7、eme of modulation circuit and demodulation circuit design of amplitude shift based on FPGA, the program design and the simulation results are given. The completion of the modulation and demodulation of binary baseband digital signal, get the modulation signal and the corresponding demodulation. Keyw

8、ords: FPGA binary amplitude shift keying ASK modulation demodulation II1. 緒論1.1 本課題的研究現(xiàn)狀 隨著時代的發(fā)展,用戶不再滿足于聽到聲音,而且還要看到圖像;通信終端也不局限于單一的電話機,而且還有傳真機和計算機等數(shù)據(jù)終端?,F(xiàn)有的傳輸媒介電纜、微波中繼和衛(wèi)星通信等將更多地采用數(shù)字傳輸。數(shù)字信號的載波調(diào)制是信道編碼的一部分,之所以在信源編碼和傳輸通道之間插入信道編碼是因為通道及相應的設備對所要傳輸?shù)臄?shù)字信號有一定的限制,未經(jīng)處理的數(shù)字信號源不能適應這些限制。由于傳輸信道的頻帶資源總是有限的,因此在充分得利用現(xiàn)有資源的前

9、提下,提高傳輸效率就是通信系統(tǒng)所追求的最重要指標之一。模擬通信很難控制傳輸效率,最常見到的單邊帶調(diào)幅(SSB)或殘留邊帶調(diào)幅(VSB)可以節(jié)省近一半的傳輸頻帶。由于數(shù)字信號只有“0”和“1”兩種狀態(tài),所以數(shù)字調(diào)制完全可以理解為像報務員用開關鍵控制載波的過程,因此數(shù)字信號的調(diào)制方式一般均為較簡單的鍵控方式?,F(xiàn)代通信系統(tǒng)的發(fā)展隨著 Verilog HDL等設計語言的出現(xiàn)和 ASIC 的應用進入了一個新的階段。由于大多數(shù)信號都是帶通型的,所以必須先用數(shù)字基帶信號對載波進行調(diào)節(jié),形成數(shù)字調(diào)制信號再進行傳輸。因而,調(diào)制技術是實現(xiàn)現(xiàn)代通信的重要手段。本文在研究ASK 系統(tǒng)的基礎上,基于 FPGA 設計了它

10、的調(diào)制解調(diào)仿真實現(xiàn)方案。首先確定了ASK 系統(tǒng)的仿真方案。其次編寫了方案所需的程序,調(diào)制及解調(diào)實現(xiàn)功能。結果表明用 FPGA 控制ASK 系統(tǒng)的實現(xiàn)方法簡單,誤碼率低。提高了數(shù)字通信系統(tǒng)的效率,降低了成本。1.2 選題目的意義 這個課題是基于FGPA 設計并制作一個2ASK調(diào)制解調(diào)器,實現(xiàn)數(shù)字信號對載波的調(diào)制 和解調(diào)。通過這個課題理解掌握課題涉及的相關內(nèi)容,熟練使用相關開發(fā)工具軟件Quartus II,熟悉數(shù)字信號載波調(diào)制解調(diào)的基本方式。現(xiàn)代通信系統(tǒng)是一個十分復雜的工程系統(tǒng),通信系統(tǒng)設計研究也是一項十分復雜的技術。由于技術的復雜性,在現(xiàn)代通信技術中,越來越重視采用計算機仿真技術來進行系統(tǒng)。22

11、ASK系統(tǒng)工作原理及數(shù)學模型2.1 2ASK的調(diào)制原理及設計方法數(shù)字幅度調(diào)制又稱幅度鍵控(ASK),二進制幅度鍵控記作2ASK。2ASK是利用代表數(shù)字信息“0”或“1”的基帶矩形脈沖去鍵控一個連續(xù)的載波,使載波時斷時續(xù)地輸出。有載波輸出時表示發(fā)送“1”,無載波輸出時表示發(fā)送“0”。通過“0”和“1”的組合,來發(fā)送數(shù)據(jù)。 2ASK信號的產(chǎn)生方法通常有兩種,模擬調(diào)制法(相乘器法)和鍵控法,如下圖 (a)為一般的模擬幅度調(diào)制方法,用乘法器將基帶信號和載波信號相乘來實現(xiàn)的。圖(b)是一種數(shù)字鍵控法,鍵控法是產(chǎn)生2ASK信號的一種方法。在2ASK中,載波的幅度只有兩種變化狀態(tài),分別對應二進制信息“0”或

12、“1”。一種常用的、也是最簡單的二進制振幅鍵控方式稱為通-斷鍵控(On Off Keying)。所以2ASK又稱為通斷控制(OOK)。最典型的實現(xiàn)方法是用一個電鍵來控制載波振蕩器的輸出而獲得。其中的開關電路受s(t)控制。圖(c)是基帶信號和調(diào)制信號波形。圖1 2ASK信號產(chǎn)生的方法及波形2.2 2ASK解調(diào)原理及設計方法 2ASK信號解調(diào)的常用方法主要有兩種:包絡檢波法和相干檢測法。包絡檢波法的原理方框圖如圖2所示:調(diào)制后的2ASK信號先通過一個帶通濾波器(BPF),濾除大部分的噪聲,并使2ASK信號可完整地通過;經(jīng)全波整流器橫軸下的波形翻轉到橫軸以上;再經(jīng)過一個低通濾波器(LPF),低通濾

13、波器的作用是濾除高頻雜波,使基帶信號(包絡)通過;通過抽樣判決器,這樣就可以還原出基帶信號。抽樣判決器LPFBPF半波或全波整流2ASK信號定時脈沖S(t)圖2 2ASK信號的包絡解調(diào)相干檢測法原理方框圖如圖3所示:相干檢測就是同步解調(diào),首先是2ASK信號經(jīng)過一個帶通濾波器,濾除里面的噪聲;經(jīng)相乘器調(diào)制信號和 coswct 相乘會產(chǎn)生兩個頻率信號,即一個高頻信號和一個頻率與基帶信號頻率一樣的信號,我們要得到基帶信號,即是要它的低頻成分;這樣通過低通濾波器濾除高頻成分即可得到低頻成分也就是基帶信號。圖3 2ASK的相干解調(diào)3. 2ASK各個模塊的設計3.1 2ASK的調(diào)制模塊2ASK調(diào)制的建模方

14、框圖如圖4所示。圖中為數(shù)字部分,輸出信號為數(shù)字信號。其建模思想為: (1)采用數(shù)字載波信號數(shù)字載波信號產(chǎn)生的方法可以從外部輸入,也可以通過高頻時鐘信號分頻得到。 (2)采用鍵控法:調(diào)制在圖4中,數(shù)字基帶信號作為鍵控信號控制與門來完成ASK 調(diào)制。 載波F與門已調(diào)信號分頻器時鐘信號基波信號開始信號圖4 2ASK的調(diào)制方框圖3.2 2ASK解調(diào)模塊 解調(diào)方框圖如圖5所示。解調(diào)器包括分頻器,計數(shù)器,寄存器和判決器等。分頻器的功能是對時鐘信號進行分頻得到與發(fā)送端數(shù)字載波相同的數(shù)字載波信號,寄存器的功能是在時鐘的上升沿到來時把數(shù)字ASK信號存入寄存器,計數(shù)器的功能是利用分頻器輸出的載波信號作為計數(shù)器的時

15、鐘信號,在其上升沿到來時,對寄存器中的ASK載波個數(shù)進行計數(shù),當計數(shù)值m小于3時,輸出為:“0”,否則則輸出為:“1”,判決器的功能是:以數(shù)字載波作為判決時鐘,對計數(shù)器輸出信號進行抽樣判決,并輸出解調(diào)后的基帶信號。ASK信號寄存器開始信號基帶信號判決計數(shù)器分頻器時鐘信號圖5 2ASK的解調(diào)信號4.VHDL程序設計4.1 2ASK調(diào)制部分程序設計首先將頻率為fc的時鐘信號CLK分頻產(chǎn)生頻率為fc/4的載波信號,以四進制計數(shù)器q循環(huán)計數(shù),圖4.2 所示為鍵控電路的VHDL 程序設計流程圖?;鶐盘杅=0f=1q=3q=2q=1q=0開始與循環(huán)結束輸出y圖6 2ASK解調(diào)框圖4.2 2ASK解調(diào)程序

16、設計開始m=10m=m+1m=0m<3y=1Y結束輸出yy=0Nm=11圖7 2ASK解調(diào)框圖5. 2ASK的仿真結果及分析5.1Quartus II的介紹Altera Quartus II 作為一種可編程邏輯的設計環(huán)境, 由于其強大的設計能力和直觀易用的接口,越來越受到數(shù)字系統(tǒng)設計者的歡迎。Altera Quartus II (3.0和更高版本)設計軟件是業(yè)界唯一提供FPGA和固定功能HardCopy器件統(tǒng)一設計流程的設計工具。工程師使用同樣的低價位工具對 Stratix FPGA進行功能驗證和原型設計,又可以設計HardCopy Stratix器件用于批量成品。系統(tǒng)設計者現(xiàn)在能夠用Q

17、uartus II軟件評估HardCopy Stratix器件的性能和功耗,相應地進行最大吞吐量設計。本次實驗使用的是Quartus II13.1。5.2Quartus II的優(yōu)點支持MAX7000/MAX3000等乘積項器件,Quartus II設計軟件現(xiàn) 在除了支持Altera的APEX 20KE,APEX 20KC, APEX II,ARM的Excalibur嵌入處理器方案,Mercury,F(xiàn)LEX10KE和ACEX1K之外,還支持MAX3000A,MAX7000系列乘 積項器件。MAX3000A和MAX7000設計者現(xiàn)在可 以使用QuartusII設計軟件中才有的所有強大的功能。軟件體

18、積縮小,運行速度加快,LogicLock設計流程把性能提升15%,采用快速適配選項縮短編譯時間,Quartus軟件能夠直接滿足特定設計需要,為可編程芯片系統(tǒng)(SOPC)設計提供了全面的設計環(huán)境,它是集系統(tǒng)級設計、嵌入式軟件開發(fā)、可編程邏輯設計于一體的綜合性的開發(fā)平臺。此外,Quartus軟件可以通過與DSP Builder工具、Matlab/Simulink相結合,方便地實現(xiàn)各種DSP應用系統(tǒng)。Quartus軟件還支持LPM/Megafunction宏功能模塊庫,用戶可以充分利用成熟的模塊,簡化設計的復雜性,加快設計速度。Quartus軟件對第三方EDA具有良好的支持,除了自身具備仿

19、真功能以外,同時也支持第三方的仿真工具,如ModelSim。這也使用戶可以在設計流程的各個階段熟悉地掌握第三方EDA工具。5.3 2ASK調(diào)制仿真將程序調(diào)試好,可以查看調(diào)制系統(tǒng)的RTL視圖。圖8 2ASK調(diào)制仿真打開創(chuàng)建的VWF文件,將時鐘信號等輸入信號復制,而Quartus II13.1內(nèi)部是集成有仿真器的,所以不需要再用外部的仿真器,點擊仿真按鈕,可得到如下的仿真圖。圖9 2ASK調(diào)制仿真圖圖10 2ASK調(diào)制仿真局部放大圖如圖11所示,為生成的流量報告。圖11 2ASK的測試報告由上面的調(diào)制仿真圖可以看到,在start信號為高電平時,電路可以正常工作,當基帶信號為低電平時,已調(diào)信號也為低

20、電平;當高電平為高電平時,已調(diào)信號為載波電平,從這可以看出,已調(diào)信號的波形受基帶信號的振幅控制,所以實現(xiàn)了振幅鍵控調(diào)制。5.4 2ASK解調(diào)仿真解調(diào)模塊RTL視圖。圖12 解調(diào)RTL視打開創(chuàng)建的VWF文件,將時鐘信號等輸入信號復制,點擊仿真按鈕,可得到解調(diào)的仿真圖。圖13 ASK解調(diào)仿真全圖將解調(diào)的圖展開,得到圖14圖14 2ASK解調(diào)仿真局部放大圖注:a.在q=11時,m清零。b.在q=10時,根據(jù)m的大小,進行對輸出基帶信號y的電平的判決。c.在q為其它時,m計xx(x信號的寄存器)的脈沖數(shù)。d. 輸出的基帶信號y滯后輸入的調(diào)制信號x 10個clk。如圖15所示,為生成的測試報告。圖15

21、2ASK解調(diào)測試報告由仿真結果可以看出,我們解調(diào)出了與基帶信號一樣的解調(diào)波形。與基帶信號相比,解調(diào)信號會有一點時延。6.總結振幅鍵控調(diào)制和解調(diào)在通信電路中廣泛使用,應用FPGA完成振幅鍵控調(diào)制解調(diào),具有通用性和實用性,用VHDL程序完成電路的軟件設計。在課程設計過程中,我不斷發(fā)現(xiàn)錯誤,不斷改正,不斷領悟檢測調(diào)試環(huán)節(jié),本身就是在踐行“過而能改,善莫大焉”的知行觀。這次課程設計終于順利完成了,在設計中遇到了很多問題,最后在同學的幫助下,終于游逆而解。在今后社會的發(fā)展和學習實踐過程中,一定要不懈努力,不能遇到問題就想到要退縮,一定要不厭其煩的發(fā)現(xiàn)問題所在,然后一一進行解決,只有這樣,才能成功的做成想

22、做的事,才能在今后的道路上劈荊斬棘,而不是知難而退,那樣永遠不可能收獲成功,收獲喜悅,也永遠不可能得到社會及他人對你的認可! 課程設計誠然是一門專業(yè)課,給我很多專業(yè)知識以及專業(yè)技能上的提升,同時又是一門講道課,一門辯思課,給了我許多道,給了我很多思,給了我莫大的空間。 同時,設計讓我感觸很深。使我對抽象的理論有了具體的認識。通過這次課程設計,我掌握了Quartus II的基本用法和對2ASK有了更深的理解。7.參考文獻1.樊昌信.通信原理教程(第六版).北京:國防工業(yè)出版社,2012 2.王振紅. FPGA 開發(fā)與應用.北京:清華大學出版社,2010 3. 江國強.EDA 技術與應用M.電子工

23、業(yè)出版社,2007。4.王興亮,寇寶明.數(shù)字通信原理與技術M.西安:西安電子科技大學出版社,2009。5.崔良海,徐潔.數(shù)據(jù)通信技術M.北京:北京大學出版社, 2009。附錄1.2ASK調(diào)制程序:library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_ASK isport(clk :in std_logic; -系統(tǒng)時鐘 start :in std_logic; -開始調(diào)制信號 x :in std_logic; -基帶信號 y

24、:out std_logic); -調(diào)制信號end PL_ASK;architecture behav of PL_ASK issignal q:integer range 0 to 3; -分頻計數(shù)器signal f :std_logic; -載波信號beginprocess(clk)beginif clk'event and clk='1' then if start='0' then q<=0; elsif q<=1 then f<='1'q<=q+1; -改變q后面數(shù)字的大小,就可以改變載波信號的占空比 elsif q=3 then f<='0'q<=0; -改變q后面數(shù)字的大小,就可以改變載波信號的頻率 else f<='0'q<=q+1; end if;end if;end process;y<=x and f; -對基帶碼進行調(diào)制end behav;2.2ASK解調(diào)程序library ieee;use ieee.std_logi

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論