智力競(jìng)賽搶答器_第1頁(yè)
智力競(jìng)賽搶答器_第2頁(yè)
智力競(jìng)賽搶答器_第3頁(yè)
智力競(jìng)賽搶答器_第4頁(yè)
智力競(jìng)賽搶答器_第5頁(yè)
已閱讀5頁(yè),還剩2頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、一、設(shè)計(jì)題目及要求1 設(shè)計(jì)題目:智力競(jìng)賽搶答器2 要求:(1)五人參賽每人一個(gè)按鈕,主持人一個(gè)按鈕,按下就開(kāi)始;(2)每人一個(gè)發(fā)光二極管,搶中者燈亮;(3)有人搶答時(shí),喇叭響兩秒鐘;(4)答題時(shí)限為 10 秒鐘,從有人搶答開(kāi)始, 用數(shù)碼管倒計(jì)時(shí)間, 0、9、81、0;倒計(jì)時(shí)到 0 的時(shí)候,喇叭發(fā)出兩秒聲響。二、設(shè)計(jì)過(guò)程及內(nèi)容1 總體設(shè)計(jì)方案智力搶答器由 5 名選手及主持人作為共同輸入端,由主持人控制總開(kāi)關(guān),當(dāng)主持人按下按鈕后, 處于高電平狀態(tài)。 此時(shí)選手可以進(jìn)行搶答, 最先搶答的人對(duì)應(yīng)的二極管發(fā)亮, 同時(shí)喇叭響兩秒, 且數(shù)碼管顯示器開(kāi)始進(jìn)行十秒倒計(jì)時(shí),當(dāng)計(jì)時(shí)器再次為 0 時(shí),喇叭再響 2 秒鐘

2、,主持人控制總開(kāi)關(guān)可復(fù)位。方案如下 : 由五個(gè)高低電平 (a1-a5)控制與之相應(yīng)的發(fā)光二極管;第六個(gè)(b) 用于主持人復(fù)位 ; 脈沖輸入信號(hào)( cp)經(jīng)分頻器產(chǎn)生需要的脈沖信號(hào);由二極管控制數(shù)碼管和其中一個(gè)喇叭響,再由數(shù)碼管控制另一喇叭; 因此整個(gè)課題設(shè)計(jì)可以分為4 個(gè)模塊,分別為搶答器,10s倒計(jì)時(shí)器, 2 秒計(jì)時(shí)器,分頻器。2 模塊一搶答器邏輯圖b 為置零端,由主持人控制,a1a5 由每位選手控制。 q1-q5為對(duì)應(yīng)的發(fā)光二極管。主持人置低電平后,q1-q5 都被置零;當(dāng)主持人置為高電平時(shí),搶答開(kāi)始,最先搶答的人對(duì)應(yīng)的二極管發(fā)光,通過(guò)與門(mén)將cp 信號(hào)封鎖,并輸入低電平到 dff中,則其他

3、選手按鍵時(shí),輸出不會(huì)改變,實(shí)現(xiàn)了一人搶答后,其他人不能再做答。主持人b清零后即可再次搶答。此模塊的仿真波形仿真圖說(shuō)明:當(dāng) b為高電平時(shí),即主持人按鍵以后,最先搶答的是5 號(hào),此時(shí) 5 號(hào)對(duì)應(yīng)的指示燈亮,其他選手對(duì)此時(shí)的搶答器結(jié)果無(wú)影響。3 模塊二 10s倒計(jì)時(shí)器邏輯圖此十秒鐘倒計(jì)時(shí)器是由74168 十進(jìn)制減法計(jì)數(shù)器,它保留預(yù)制置數(shù)端、cp信號(hào)端、計(jì)數(shù)輸出端, ,其余的端口都置為0。ld是置零端, ld置高電平 1 時(shí),74168有效,開(kāi)始進(jìn)行減法計(jì)算,倒計(jì)時(shí)開(kāi)始。當(dāng)輸出0、9、-1時(shí),d觸發(fā)器輸出結(jié)果總是 0,不影響 cp信號(hào)。當(dāng)輸出從1 到 0時(shí),d觸發(fā)器輸出結(jié)果為1,則 cp信號(hào)被封鎖。此

4、時(shí)倒計(jì)時(shí)器保持在0 不變。若要重新開(kāi)始,則把ld 置 0即可。此模塊的仿真波形仿真圖說(shuō)明 : 當(dāng) ld為 1,且有 cp 信號(hào)時(shí),倒計(jì)時(shí)開(kāi)始0 9 8 0,最后保持0 不變。4 模塊三 二秒計(jì)時(shí)器邏輯圖如圖將 74160 接成 2 進(jìn)制計(jì)時(shí)器,設(shè)計(jì)為兩秒計(jì)時(shí)器, 當(dāng) clrn 為 0 時(shí)輸出端q1 q2 q3 q4 為 0;當(dāng) clrn 為 1 時(shí),cp脈沖通過(guò), 2 秒倒計(jì)時(shí)開(kāi)始,兩秒后,輸出端通過(guò)與門(mén)使cp信號(hào)封鎖,使計(jì)數(shù)器保持在2 不變。此模塊的仿真波形仿真圖說(shuō)明: clm 為 1 時(shí),且有 cp 脈沖,開(kāi)始 2 秒計(jì)時(shí), 0 1 2,到 2 停止,當(dāng) clrm 置零后,可以重新開(kāi)始5

5、模塊四732 分頻器邏輯圖三個(gè) 74160 十進(jìn)制計(jì)數(shù)器組成一個(gè)732 進(jìn)制的計(jì)數(shù)器,一個(gè) 732hz的脈沖分頻成 1s的脈沖給 10s 倒計(jì)時(shí)器和二秒計(jì)時(shí)器提供時(shí)鐘脈沖,當(dāng)計(jì)數(shù)到732 時(shí)三個(gè)計(jì)數(shù)器的 ldn同時(shí)置零,重新開(kāi)始計(jì)數(shù)。此模塊的仿真波形仿真說(shuō)明:一個(gè) 732hz的脈沖通過(guò)分頻器被分頻成1s的脈沖。6 總邏輯圖此模塊的仿真波形仿真圖形說(shuō)明 : 當(dāng) b為 1 的時(shí)候,即主持人按鍵以后 ,a5 搶答成功,顯示 q5是 1,對(duì)應(yīng)的二極管發(fā)光。 qa qb qc qd qe qf qg 數(shù)碼管顯示,倒計(jì)時(shí)開(kāi)始。speak1為 1,喇叭響 2 秒鐘。out 輸出為 0,當(dāng)?shù)褂?jì)時(shí)結(jié)束時(shí), sp

6、eak2為 1,喇叭再響 2 秒鐘。 out 輸出為 1。當(dāng) b再被置 0 的時(shí),輸出被清零,可以重新開(kāi)始。三、設(shè)計(jì)結(jié)論這次 eda 課程設(shè)計(jì)歷時(shí)兩個(gè)星期。雖然只有短短的兩個(gè)星期,但是學(xué)到了很多很多的東西, 不僅可以鞏固以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書(shū)本上所沒(méi)有學(xué)到過(guò)的知識(shí)。這次設(shè)計(jì)真的讓我受益匪淺,不再覺(jué)得學(xué)無(wú)所用。當(dāng)然這個(gè)過(guò)程也是很辛酸的。從最初不會(huì)下載及安裝max-plus ,到現(xiàn)在可以初步了解及使用它, 我深入的體會(huì)了數(shù)字電路在現(xiàn)代高科技信息產(chǎn)業(yè)領(lǐng)域中的重要地位; 從最初拿到課題分析課題, 再到具體功能的實(shí)現(xiàn)及模塊規(guī)劃,再到具體邏輯圖的連接,編譯,仿真,直至最后的電路調(diào)試,每一個(gè)環(huán)

7、節(jié)都讓我加深了對(duì)實(shí)際問(wèn)題的思考。每當(dāng)一個(gè)子模塊編譯顯示0 個(gè) errors,0 個(gè) warnings 時(shí),心里特別的開(kāi)心。編寫(xiě)邏輯圖有很多需要注意的地方,例如要時(shí)時(shí)刻刻記得置頂, 保證在當(dāng)前文件夾下操作, 各元件連接不能過(guò)于緊密, 要熟記常用的芯片的符號(hào), 什么地方要有節(jié)點(diǎn)什么地方?jīng)]有節(jié)點(diǎn),一定要格外小心在波形仿真時(shí),也會(huì)遇到困難,尤其周期和結(jié)束時(shí)間設(shè)定的不合理,想要的結(jié)果不能在波形上得到正確的顯示:,反復(fù)調(diào)試有了經(jīng)驗(yàn), 才能設(shè)定的更加合理, 也會(huì)由于芯片的延遲, 導(dǎo)致波形不能完全符合預(yù)想的效果 .在連接實(shí)驗(yàn)箱時(shí),哪怕一點(diǎn)點(diǎn)的不專注,都會(huì)導(dǎo)致電路不能實(shí)現(xiàn)預(yù)想的功能。通過(guò)這次課程設(shè)計(jì)使我學(xué)會(huì)了巧妙運(yùn)用模塊化的思想,一步一步解決問(wèn)題,也充分懂得了理論與實(shí)際相結(jié)合是很重要的,認(rèn)識(shí)到只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論, 從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。也認(rèn)識(shí)到

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論