智能儀器設(shè)計基礎(chǔ)課程設(shè)計_單片機原理及應(yīng)用課程設(shè)計.docx_第1頁
智能儀器設(shè)計基礎(chǔ)課程設(shè)計_單片機原理及應(yīng)用課程設(shè)計.docx_第2頁
智能儀器設(shè)計基礎(chǔ)課程設(shè)計_單片機原理及應(yīng)用課程設(shè)計.docx_第3頁
智能儀器設(shè)計基礎(chǔ)課程設(shè)計_單片機原理及應(yīng)用課程設(shè)計.docx_第4頁
智能儀器設(shè)計基礎(chǔ)課程設(shè)計_單片機原理及應(yīng)用課程設(shè)計.docx_第5頁
已閱讀5頁,還剩23頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、.智能儀器設(shè)計基礎(chǔ)課程設(shè)計目錄摘要-3題目-3整體設(shè)計及系統(tǒng)原理-3主要硬件介紹-4 STC89C51單片機特點-4STC89C51引腳說明-4硬件設(shè)計-6單片機最小系統(tǒng)-6數(shù)碼管顯示電路-7鍵盤電路-8AD轉(zhuǎn)換電路-9 信號調(diào)理電路-924C02電路-10報警電路-11加熱電路-11電源電路-12軟件設(shè)計-12數(shù)碼管顯示程序-1224C02讀寫程序-14AD轉(zhuǎn)換程序-18算數(shù)平均濾波程序-18熱電阻非線性校正算法-19按鍵子程序-20報警子程序-23PID子程序-23主程序-23課程設(shè)計總結(jié)-27參考文獻-27附錄: 系統(tǒng)原理圖 系統(tǒng)PCB圖摘要:隨著電子技術(shù)的飛速發(fā)展,單片機在國民經(jīng)濟生產(chǎn)

2、各行業(yè)發(fā)揮了重要的作用。它因為集成度高、體積小、運行可靠、應(yīng)用靈活、價格低、面向控制等特點得到了廣大工程技術(shù)人員和客戶的好評。在溫度控制方面,單片機能夠代替常規(guī)的模擬調(diào)節(jié)器。本文主要設(shè)計了單片機爐溫控制系統(tǒng)硬件電路和軟件程序。系統(tǒng)具有工作可靠、實時性強等特點,滿足控制精度的要求。本著在滿足系統(tǒng)性能要求的前提下,盡可能的減少硬件成本。本文主要涉及到控制系統(tǒng)的硬件設(shè)計和單片機的控制軟件編程。本系統(tǒng)選用熱電阻Cu50對爐溫進行檢測,在AD0804完成模數(shù)轉(zhuǎn)換之后,STC89C51單片機對數(shù)據(jù)進行處理。人機接口電路部分能實現(xiàn)溫度設(shè)定、溫度顯示、超溫報警等功能。題目:20. 試設(shè)計智能儀表實現(xiàn)智能數(shù)字顯

3、示儀表。要求8位數(shù)碼管顯示(4位顯示測量值,4位顯示設(shè)定值),4輸入按鈕(功能選擇、數(shù)碼管選擇、數(shù)字增加、數(shù)字減少),可設(shè)定上下限報警,蜂鳴器報警。適配Cu50熱電阻,測溫范圍為0130。采用比例控制、并用晶閘管移相驅(qū)動1000W電加熱器(電源電壓為AC220V)。整體設(shè)計及系統(tǒng)原理:電源在系統(tǒng)中,利用熱電阻測得電阻爐實際溫度并轉(zhuǎn)換成毫伏級電壓信號。該電壓信號經(jīng)過溫度檢測電路轉(zhuǎn)換成與爐溫相對應(yīng)的數(shù)字信號進入單片機,單片機進行數(shù)據(jù)處理后,通過LED數(shù)碼管顯示溫度并判斷是否報警,同時將溫度與設(shè)定溫度比較,根據(jù)設(shè)定的P算法計算出控制量,根據(jù)控制量通過控制雙向晶閘管的導(dǎo)通和關(guān)閉從而控制電阻絲的導(dǎo)通時間

4、,以實現(xiàn)對爐溫的控制。該系統(tǒng)中的時鐘電路可以根據(jù)要求進行準確計時。數(shù)碼管鍵盤STC89C51單片機信號調(diào)理電路AD080424C02晶閘管主要硬件介紹:STC89C51是改進型的51內(nèi)核單片機,它比人們常用的AT89S51單片機編程更簡單。STC89C51單片機特點:12時鐘/6時鐘機器周期的8051CPU;工作電壓3.4-5.5V;工作頻率0-40MHz;程序存儲器容量4KB;RAM容量512B;I/O端口與AT89S51相同;通過串口(P3.0 P3.1)直接下載用戶程序到代碼存儲器中(ISP功能),可下載10萬次以上;具有EEPROM;具有看門狗,冷啟動后未關(guān)閉狀態(tài),一旦啟動無法關(guān)閉;3

5、個定時計數(shù)器;2個外部中斷,掉電模式可由外部中斷低電平觸發(fā)喚醒;一個異步串行口;溫度范圍0-75(商業(yè)),-40-85(工業(yè));具有PDIP-40、PLCC-44、PQFP-44封裝。STC89C51引腳說明:VCC:供電電壓。  GND:接地。   P0口:P0口為一個8位漏級開路雙向I/O口,每腳可吸收8TTL門電流。當P1口的管腳第一次寫1時,被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時,P0 口作為原碼輸入口,當FIASH進行校驗時,P0輸出原碼,此時P0外部必須被拉高。  &#

6、160; P1口:P1口是一個內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時,將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗時,P1口作為第八位地址接收。     P2口:P2口為一個內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TTL門電流,當P2口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當用于外部程序存儲器或16位地址外

7、部數(shù)據(jù)存儲器進行存取時,P2口輸出地址的高八位。在給出地址“1”時,它利用內(nèi)部上拉優(yōu)勢,當對外部八位地址數(shù)據(jù)存儲器進行讀寫時,P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗時接收高八位地址信號和控制信號。    P3口:P3口管腳是8個帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個TTL門電流。當P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。P3口也可作為AT89C51的一些特殊功能口,如下表所示:口管腳 備選功能P3.0 RXD(串行輸入口) P3.1

8、 TXD(串行輸出口)P3.2 /INT0(外部中斷0) P3.3 /INT1(外部中斷1)P3.4 T0(記時器0外部輸入) P3.5 T1(記時器1外部輸入)P3.6 /WR(外部數(shù)據(jù)存儲器寫選通) P3.7 /RD(外部數(shù)據(jù)存儲器讀選通)P3口同時為閃爍編程和編程校驗接收一些控制信號。 RST:復(fù)位輸入。當振蕩器復(fù)位器件時,要保持RST腳兩個機器周期的高電平時間。ALE/PROG:當訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時,ALE端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6。因此它可用作對外部輸出

9、的脈沖或用于定時目的。然而要注意的是:每當用作外部數(shù)據(jù)存儲器時,將跳過一個ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時, ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。  /PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個機器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN信號將不出現(xiàn)。    /EA/VPP:當/EA保持低電平時,則在此期間外部程序存儲器(0000H-FFFFH),不管是否有內(nèi)部程序

10、存儲器。注意加密方式1時,/EA將內(nèi)部鎖定為RESET;當/EA端保持高電平時,此間內(nèi)部程序存儲器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。硬件設(shè)計:單片機最小系統(tǒng):時鐘電路采用12MHz的晶振和兩個30pF的電容連接而成,是單片機的機器周期為1us左右,在使用定時器時便于計算和設(shè)置定時器的初始值。復(fù)位電路:由于機器周期為2us,所以RESET引腳上產(chǎn)生一個2us以上的高電平脈沖,即可產(chǎn)生復(fù)位動作。電源接上瞬間,電容上沒有電荷,相當于短路,所以復(fù)位引腳直接連到VCC,單片機復(fù)位,隨時間增加,電容上電壓逐漸變大,RESET引腳上電壓逐漸降低至低電平時,單片機恢復(fù)正常狀態(tài),

11、在此使用10k電阻,10uF電容,時間常數(shù)遠大于2us,高電平能保持2us以上,足以使系統(tǒng)復(fù)位。串口可以用來向單片機里下載程序,以及和計算機通訊等。數(shù)碼管顯示電路:此電路采用8個數(shù)碼管和一個74LS245,一個74LS138和一個排阻組成。74LS245是一個8雙向總線接收器,與單片機的P0口相接,控制數(shù)碼管的段選端。由于P0口內(nèi)部沒有上拉電阻,所以做輸出口時,必須外接上拉電阻。74LS138是一個3-8譯碼器,與P2口的高三位相接,經(jīng)過譯碼控制數(shù)碼管的位選端,數(shù)碼管都是共陽數(shù)碼管。鍵盤電路: 4個按鍵分別與單片機的P3.3、P2.2、P2.3、P2.4相接,功能分別為功能選擇、數(shù)碼管選擇,加

12、數(shù)字、減數(shù)字,都是低電平有效,都接上拉電阻是保證沒有鍵按下時,均為高電平,其中功能鍵按下時會觸發(fā)外部中斷。AD轉(zhuǎn)換電路:ADC0804是一個CMOS的逐步逼近式AD轉(zhuǎn)換器,具有8為分辨率,轉(zhuǎn)換時間為100us,而最大誤差為1個LSB值,差動式模擬電壓輸入、三態(tài)數(shù)字輸出,模擬輸入電壓為0-5V。信號調(diào)理電路:Cu50在0130時電阻變化為50.00077.833,左上方電路為提供1mA電流的恒流源,因此Cu50輸出的電壓為0.005-0.077833V的電壓,通過信號調(diào)理電路將其轉(zhuǎn)化為04.2V的電壓送入AD??闪蟹匠探M 0.005m+b=0與 0.077833m+b=4.2解得 m=151 b

13、=-7.55 由電路圖可得Vout=1+R23R24Vin- R23R24 Vref 可令R23=150K,R24=1K, Vref=0.05V右下方為參考電壓電路Vref=(1+R22/R21)*V, 可令V=0.03V R22=2K R21=3K. 0.03V可由穩(wěn)壓管TL431經(jīng)分壓可得。此電路中,放大器選擇滿擺幅運放TLV2472。24C02電路:24C02是一種由I2C接口的EEPROM存儲芯片,存儲容量為256B,100萬次的編程/擦除周期,數(shù)據(jù)保存可達100年。在此電路中使用此芯片是要保存儀表中某些已設(shè)定好的數(shù)據(jù),當?shù)綦姾?,?shù)據(jù)不會丟失。由于I2C總線是開漏結(jié)構(gòu),因此時鐘線SCL

14、和數(shù)據(jù)線SDA用10k電阻上拉到高電平。報警電路: 該報警電路由蜂鳴器和發(fā)光二極管、PNP三極管組成,當單片機的P2.0口輸出低電平時三極管基極為低電平,三極管導(dǎo)通,蜂鳴器工作發(fā)出響聲,同時發(fā)光二極管也導(dǎo)通,發(fā)光。三極管在此處是為了驅(qū)動蜂鳴器和發(fā)光二極管工作。在軟件設(shè)計中可設(shè)置當溫度超過上限或下限時,報警電路工作。加熱電路:單片機驅(qū)動移相型驅(qū)動器、具有點阻性負載的電路如上圖所示。移相型驅(qū)動器可以在單片機控制驅(qū)動器的瞬間使驅(qū)動器MOC3022觸發(fā)雙向晶閘管BTA12,因此可以按照單片機發(fā)出的控制信號控制雙向晶閘管導(dǎo)通角。其中R9=(5V-VOL-VF)/IFT=2V/10mA=200,電阻R11

15、用于限制MOC3022的輸出電流ITSM,計算公式如下:R11=Vpeak/ITSM=(2202)V/1A=311.第二個電路為電壓過零檢測電路,當電壓過零時,向單片機發(fā)一個中斷,單片機可以按照此信號控制雙向晶閘管的導(dǎo)通角。電源電路:這是儀表的供電電路可以通過外接直流電源,然后通過該電源電路給儀表供電。電路圖中的二極管橋式電路是濾波電路。接入電源后通過穩(wěn)壓模塊7805穩(wěn)壓最終獲得+5V的電壓。最左面的發(fā)光二極管是檢測電源是否接通。通電時,二極管亮。軟件設(shè)計:數(shù)碼管顯示程序:#define uchar unsigned char#define uint unsigned intvoid dela

16、y(uint x) /延時函數(shù)大約延時5msuchar m,n;for(m=x;m-;m>0)for(n=60;n-;n>0);void display1(uchar a,uchar b,uchar c,uchar d)/設(shè)定值顯示P2=0x8f&P2; /送第一個數(shù)碼管的位碼P0=a; /送第一個數(shù)碼管的段碼 delay(10); /短暫延時P2=0x9f&P2;/送第二個數(shù)碼管的位碼P0=b; /送第二個數(shù)碼管的段碼delay(10);P2=0xaf&P2;/送第三個數(shù)碼管的位碼P0=c;/送第三個數(shù)碼管的段碼delay(10);P2=0xbf&

17、P2;/送第四個數(shù)碼管的位碼P0=d;/送第四個數(shù)碼管的段碼delay(10);void display2(uchar a,uchar b,uchar c,uchar d)/測量值顯示P2=0xcf&P2;/送第一個數(shù)碼管的位碼P0=a;/送第一個數(shù)碼管的段碼delay(10);P2=0xdf&P2;/送第二個數(shù)碼管的位碼P0=b;/送第二個數(shù)碼管的段碼delay(10);P2=0xef&P2;/送第三個數(shù)碼管的位碼P0=c;/送第三個數(shù)碼管的段碼delay(10);P2=0xff&P2;/送第四個數(shù)碼管的位碼P0=d;/送第四個數(shù)碼管的段碼delay(10);

18、24C02讀寫程序:#define uchar unsigned char#define uint unsigned intuchar a;sbit sda=P34;sbit scl=P35;void delay() ; void start() /啟用總線sda=1;delay();scl=1;delay();sda=0;delay();void stop()/停用總線sda=0;delay();scl=1;delay();sda=1;delay();void reply()/應(yīng)答信號uchar i;scl=1;delay();while(sda=1)&&(i<250)

19、i+;scl=0;delay();void first()/24C02初始化程序sda=1;delay();scl=1;delay();void write(uchar date)/寫一個字節(jié)的程序uchar k,temp;temp=date;for(k=0;k<8;k+)temp=temp<<1;scl=0;delay();sda=CY;delay();scl=1;delay();scl=0;delay();sda=1;delay();uchar read()/讀一個字節(jié)的程序uchar i,m;scl=0;delay();sda=1;delay();for(i=0;i&l

20、t;8;i+)scl=1;delay();m=(m<<1)|sda;scl=0;delay();return m;void delay1(int x)/延時程序uchar a,b;for(x=a;a>0;a-)for(b=100;b>0;b-);void write_add(uchar address,uchar date)/寫程序start();write(0xa0);reply();write(address);reply();write(date);reply();stop();uchar read_add(uchar address)/讀程序uchar dat;

21、start();write(0xa0);reply();write(address);reply();start();write(0xa1);reply();dat=read();stop();return dat;AD轉(zhuǎn)換程序:sbit wr=P36;sbit rd=P37;#define uint unsigned int#define uchar unsigned charuint AD() /AD轉(zhuǎn)換函數(shù) uchar AD; wr=0; delay(2); wr=1; delay(20); rd=0; delay(2); P1=0xff; AD=P1; rd=1; return AD;

22、 算數(shù)平均濾波子程序: #define N 8/定義采樣次數(shù)uchar value_bufN;uchar lvbo()/濾波函數(shù)uchar i;uchar count;int sum=0;value_bufi+=AD; /獲取AD轉(zhuǎn)換結(jié)果,并計算累加和if(i=N)i=0;for(count=0;count<N;count+)sum+=value_bufcount;return (uchar)(sum/N);熱電阻的非線性校正算法:熱電阻Cu50的阻值與溫度的關(guān)系,并非是絕對的線性關(guān)系,因此為了使儀表誤差較小應(yīng)該對熱電阻進行非線性校正。在1mA的恒定電流下,熱電阻的電壓與溫度變化的關(guān)系如

23、下表所示:T/0102030405060U/mA50.00052.14454.28556.42658.56560.70464.842T/708090100110120130U/mA64.98167.11969.25971.40073.54275.68777.833程序:uint var;uint code Rtable=50000 52144 54285 56426 58565 60704 64842 64981 67119 69259 71400 73542 75687 77833/熱電阻的電壓值,每隔10度對應(yīng)一個電壓/值void tab()/查表子函數(shù)uint v1=0,max,min,

24、min,mid,j;v1=AD*1000; /AD采樣值擴大1000倍,因為表中電壓值擴大/了1000倍min=0;max=13;/給出表格的初始元素序號與最后元素的序號while(1)/開始查表mid=(max+min)/2;/中心元素位置if(Rtablemid=v1) var=mid*10;break;/中心元素等于查表得元素/計算相應(yīng)溫度if(Rtablemid>v1) max=mid;else min=mid;if(max-min)<=1)/多次循環(huán)后,獲得v1值對應(yīng)的下限值元素序/號與上限元素序號 j=( Rtablemax-Rtablemin)/10;/表中相鄰兩值對

25、應(yīng)溫度相差/10度j=(v1-Rtablemin)/j; /得到max-min之間的電壓/度var=10*min+j; /獲得總溫度break;按鍵子程序:uchar ba,sh,ge,sf,m;sbit set=P33;sbit xuan=P22;sbit jia=P23;sbit jian=P24;void key()/按鍵函數(shù)uchar i;if(xuan=0) /按下數(shù)碼管選擇鍵delay(10); /延時消抖if(xuan=0) /再次確認是否按下i=i+0x10; /位選值加1if(i=0xcf)i=0x8f;P2=P2&i;/送入位選while(!xuan);/等待松手w

26、hile(jia!=0)&&(jian!=0)&&(set!=0)&&(xuan!=0)/數(shù)碼管閃爍P0=0xff;delay(20);P0=0x00;delay(20); if(jia=0)/加數(shù)子程序 delay(10);if(jia=0)switch (i) /選擇要加數(shù)的數(shù)碼管case 0x8f:ba+;if(ba=10)ba=0;P0=tableba;while(!jia);break;case 0x9f:sh+;if(sh=10)ba=0;P0=tablesh;while(!jia); break;case 0xaf:ge+;if(g

27、e=10)ge=0;P0=table1ge;while(!jia);break;case 0xbf:sf+;if(sf=10)sf=0;P0=tablesf;while(!jia); break; if(jian=0)/減數(shù)子程序 delay(10); if(jian=0) switch (i)/選擇要減數(shù)的數(shù)碼管case 0x8f:ba-;if(ba=0)ba=9;P0=tableba;while(!jian);break;case 0x9f:sh-;if(sh=0)sh=9;P0=tablesh;while(!jian);break;case 0xaf:ge-;if(ge=0)ge=9;P

28、0=table1ge;while(!jian);break;case 0xbf:sf-;if(sf=0)sf=9;P0=tablesf;while(!jian);break; void anjian(uint hial,uint loal,uint p,uint sv)/按鍵程序if(m=1)/設(shè)定設(shè)定值key();sv=ba*1000+sh*100+ge*10+sf;display2(0x00,0x6d,0x1c,0x00);if(m=2)/設(shè)定上限值key();hial=ba*1000+sh*100+ge*10+sf;display2(0x76,0x06,0x77,0x38);if(m=3

29、)/設(shè)定下限值key();loal=ba*1000+sh*100+ge*10+sf;display2(0x38,0x5c,0x77,0x38);if(m=4)/設(shè)定比例帶key();p=ba*1000+sh*100+ge*10+sf;display2(0x00,0x73,0x00,0x00);if(m=5)/設(shè)定退出m=0;void setjian() interrupt 2 /按下功能選擇鍵觸發(fā)中斷通過記錄中斷/次數(shù)改變要設(shè)定的功能delay(10);while(!set);m+;報警子程序:sbit fengmingqi=P20;sbit led1=P31;sbit led2=P30;vo

30、id baojing()if(var>hial)fengmingqi=0;led1=0;if(var<loal)fengmingqi=0;led2=0;PID子程序:uint e,out,outm,k;void pid()e=var-sv;k=1/p;out=k*e+outm;if(out>outm) out=outm;if(out<0) out=0;主程序:#include<reg51.h>#define uchar unsigned char#define uint unsigned intuchar code table=0x3f,0x06,0x5b,

31、0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f;uchar code table1=0xbf,0x86,0xdb,0xcf,0xe6,0xed,0xfd,0x87,0xff,0xef,;uint code Rtable=50000 52144 54285 56426 58565 60704 64842 64981 67119 69259 71400 73542 75687 77833;uchar displaytime, sampletime,controltime, ba,sh,ge,sf,m;uint var,e,out,out0,outm,k,ci;sbit sda

32、=P34;sbit scl=P35;sbit wr=P36;sbit rd=P37;sbit set=P33;sbit xuan=P22;sbit jia=P23;sbit jian=P24;sbit fengmingqi=P20;sbit jiare=P21;sbit led1=P31;sbit led2=P30;void main() uchar gao,di;TMOD=0x22;TH0=156;TL0=156;TH1=200;TL1=200;EA=1;ET0=1;ET1=1;TR0=1;gao=uchar read_add(1);di=uchar read_add(2);sv=gao*100+di;gao=uchar read_add(3);di=uchar read_add(4);hial=gao*100+di;gao=uchar read_add(5);di=uchar read_add(6);loal=gao*100+di;gao=uchar read_add(7);di=uchar read_add(8);p=gao*100+di;while(1) anjian(hial,loal,p,sv); write_add(0x01,sv/100); write_add(0x02,sv%100); writ

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論