云南師范大學(xué)通信原理實(shí)驗(yàn)-11(信道均衡器)_第1頁
云南師范大學(xué)通信原理實(shí)驗(yàn)-11(信道均衡器)_第2頁
云南師范大學(xué)通信原理實(shí)驗(yàn)-11(信道均衡器)_第3頁
云南師范大學(xué)通信原理實(shí)驗(yàn)-11(信道均衡器)_第4頁
云南師范大學(xué)通信原理實(shí)驗(yàn)-11(信道均衡器)_第5頁
已閱讀5頁,還剩9頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、本科學(xué)生綜合性實(shí)驗(yàn)報(bào)告云南師范大學(xué)教務(wù)處編印一、實(shí)驗(yàn)設(shè)計(jì)方案實(shí)驗(yàn)序號(hào)11實(shí)驗(yàn)名稱信道均衡器實(shí)驗(yàn)時(shí)間2014年6月3日實(shí)驗(yàn)室同析3-1111實(shí)驗(yàn)?zāi)康?.1 認(rèn)識(shí)Matlab/Simulink的基本功能。1.2 了解Simulink的基本圖符庫,并能做出信道均衡器仿真。1.3 掌握數(shù)字基帶傳輸系統(tǒng)的具體結(jié)構(gòu),均衡器的作用。2實(shí)驗(yàn)原理、實(shí)驗(yàn)流程或裝置示意圖2.1 升余弦滾降信號(hào)升余弦滾降信號(hào)滿足奈奎斯特抽樣值無失真準(zhǔn)則,而且物理可實(shí)現(xiàn)好。升余弦滾降信號(hào)的頻譜的表達(dá)式為這里是滾降系數(shù),;S0 為歸一化常數(shù)。升余弦滾降信號(hào)具有如下的時(shí)域表達(dá)形式: (1)由上述時(shí)域表達(dá)式可知,升余弦滾降信號(hào)在除0之外的整

2、數(shù)倍T時(shí)刻的采樣值為0,因而滿足乃奎斯特抽樣值無失真?zhèn)鬏敆l件,即采用升余弦滾降信號(hào)作為碼元波形,按碼元周期T進(jìn)行抽樣時(shí),不會(huì)形成碼間串?dāng)_。碼元波形成形的方法是用升余弦滾降濾波器對碼元沖激序列進(jìn)行濾波,升余弦滾降濾波器的沖激響應(yīng)即Y(t)。這樣每個(gè)碼元轉(zhuǎn)換為一個(gè)相似于Y(t)的波形,波形的幅度和正負(fù)極性取決于脈沖的幅度和正負(fù)極性。在實(shí)際通信系統(tǒng)中,接收濾波器HR(f)通常是發(fā)送濾波器HT(f)的匹配濾波器,即=。為了保證接收端的抽樣值無失真,需要使發(fā)送濾波器和接收濾波器級(jí)聯(lián)的效果等效于升余弦滾降濾波器,即 (2)符合上述條件的稱為平方根升余弦滾降濾波器,通常情況下采用有限沖激響應(yīng)(FIR)濾波器

3、的形式實(shí)現(xiàn),這種情況下=,發(fā)送濾波器和接收濾波器為相同的平方根升余弦滾降濾波器。本實(shí)驗(yàn)在離散時(shí)間域進(jìn)行,采樣周期。平方根余弦滾降濾波器采用FIR濾波器的形式實(shí)現(xiàn)。FIR濾波器要求濾波器的沖激響應(yīng)是有限長度的,沖激響應(yīng)的離散時(shí)間長度即濾波器的階數(shù)。在本實(shí)驗(yàn)中采用了滾降系數(shù)為1的32階平方根升余弦滾降濾波器,即濾波器的沖激響應(yīng)的時(shí)間長度為32T,相當(dāng)于8個(gè)碼元周期。濾波器的系數(shù)通過Simulink模塊計(jì)算得到。2.2 串?dāng)_信道該信道的沖激響應(yīng)是在整個(gè)仿真時(shí)間段內(nèi),假設(shè)該信道不隨時(shí)間而變化。2.3 均衡器設(shè)計(jì)多徑傳輸和信道失真可能引起嚴(yán)重的碼間串?dāng)_,采用適當(dāng)有效的均衡技術(shù),可以提高數(shù)據(jù)傳輸速率、誤碼

4、率性能和頻帶利用率。本實(shí)驗(yàn)仿真的是橫向?yàn)V波器形式的時(shí)域信道均衡器,如圖1所示,它由帶有抽頭的延遲線、加權(quán)系數(shù)相乘器及相加器組成。TTTT+輸出CNC1C0C-1C-N輸入x(t)x(t-nT)圖1 橫向?yàn)V波器(信道均衡器)本實(shí)驗(yàn)設(shè)計(jì)和仿真一個(gè)3階的均衡器,均衡器的系數(shù)用矢量C=C-1 C0 C1T表示。依據(jù)迫零準(zhǔn)則,根據(jù)信道的沖激響應(yīng)計(jì)算均衡器的系數(shù)。迫零準(zhǔn)則要求,當(dāng)均衡器輸入序列(信道沖激響應(yīng)序列),其輸出=0 1 0,即 (3)式中(4)容易計(jì)算得到(5)綜上所述,本實(shí)驗(yàn)進(jìn)行如圖2所示的仿真。在發(fā)送端,將輸入碼元序列輸入到升余弦滾降濾波器,得到數(shù)字基帶信號(hào),經(jīng)過串?dāng)_信道,在接收端通過均衡器

5、,去除串?dāng)_,得到輸出碼元序列。升余弦滾降濾波器是按照采樣周期T/4(T為碼元周期)設(shè)計(jì)的,所以輸入碼元序列在進(jìn)行升余弦滾降濾波前還要先進(jìn)行4倍上采樣,將采樣周期提高到T/4,同樣在均衡器之后,輸出碼元序列之前,還要進(jìn)行4倍下采樣,將采樣周期恢復(fù)為T。平方根升余弦滾降濾波器串?dāng)_信道平方根升余弦滾降濾波器均衡器發(fā)送端接收端輸出碼元序列輸入碼元序列圖2 數(shù)字基帶傳輸系統(tǒng)簡化框圖2.4 實(shí)驗(yàn)方案設(shè)計(jì)本實(shí)驗(yàn)對應(yīng)的仿真文件是equalizer.mdl,打開equalizer.mdl可以得到如圖3所示的仿真模型架構(gòu)。該模型主要分為三個(gè)主要部分,分別是發(fā)送端、信道和接收端。圖3 仿真系統(tǒng)框圖(1)基帶數(shù)字傳輸

6、發(fā)送端 發(fā)送端由圖4中的3個(gè)模塊構(gòu)成,其中數(shù)據(jù)發(fā)生器模塊的細(xì)節(jié)如圖5所示。發(fā)送端由一個(gè)伯努利二進(jìn)制序列發(fā)生器產(chǎn)生隨機(jī)的0,1二進(jìn)制序列,然后將該序列轉(zhuǎn)換為二電平碼元序列;碼元序列的采樣周期是1ms,經(jīng)過4倍增采樣,采樣周期成為0.25ms(采樣頻率為4kHz);然后送到平方根升余弦滾降濾波器,得到發(fā)送的基帶數(shù)字信號(hào),該信號(hào)中每個(gè)碼元的波形都是平方根升余弦滾降信號(hào);上采樣前的4倍增益保證在上采樣和濾波后信號(hào)的幅度保持在1附近。 圖4 基帶數(shù)字傳輸發(fā)送端圖5 數(shù)據(jù)發(fā)生器Source Data內(nèi)部模型圖 (2)串?dāng)_信道串?dāng)_信道的結(jié)構(gòu)如圖6所示,是典型的FIR濾波器結(jié)構(gòu),其沖激響應(yīng)在1ms采樣周期下是

7、。圖6 串?dāng)_信道模型(3)基帶數(shù)字傳輸接收端基帶數(shù)字傳輸接收端的結(jié)構(gòu)如圖7所示。接收到的數(shù)字基帶信號(hào)首先通過匹配濾波器,匹配濾波器和發(fā)送端的平方根升余弦滾降濾波器完全相同,匹配濾波器和發(fā)送端濾波器共同構(gòu)成一個(gè)升余弦滾降濾波器,使基帶碼元傳輸滿足奈奎斯特抽樣值無失真條件。匹配濾波器的輸出送入均衡器,均衡器的細(xì)節(jié)結(jié)構(gòu)如圖8所示,其結(jié)構(gòu)是典型的FIR濾波器結(jié)構(gòu),其沖激響應(yīng)在1ms采樣周期下是。均衡之后的數(shù)字基帶信號(hào)要經(jīng)過下采樣,恢復(fù)采樣周期為T,獲取發(fā)送的碼元。下采樣中的一個(gè)關(guān)鍵參數(shù)是采樣偏移(sample offset),采樣偏移是由之前所有處理模塊的時(shí)間延遲所決定的,在實(shí)際通信系統(tǒng)中需要通過嘗試

8、有限的幾種可能性,可以確定當(dāng)采樣偏移是0時(shí)可以抽樣到最佳的碼元電平值。圖7基帶數(shù)字傳輸接收端圖8 信道均衡器模型結(jié)構(gòu)3實(shí)驗(yàn)設(shè)備及材料3.1 Windows XP/Windows 73.2 Matlab R2009a4實(shí)驗(yàn)方法步驟及注意事項(xiàng)4.1 打開matlab應(yīng)用軟件,如圖9所示。4.2 在圖(4)中右邊的命令窗(Command Window)的光標(biāo)處輸入:simulink,回車。圖9 Matlab界面4.3 在圖9中,選擇:File>New>Model新建文件,保存在matlab工作目錄下,并取名 為equalizer.mdl。4.4 在Find命令行處輸入:Bernouli

9、Binary Generator,就在窗口的右邊找到了該仿真模塊圖標(biāo)。用鼠標(biāo)右鍵選擇該模塊,將其添加到創(chuàng)建的equalizer窗口中。4.5 用相同的方法創(chuàng)建“眼圖”(Eye Diagram Scope),觀察每個(gè)設(shè)備的連接點(diǎn),用鼠標(biāo)左鍵把設(shè)備連接起來,如圖3所示。4.6 進(jìn)行相關(guān)參數(shù)設(shè)置:雙擊濾波器模塊,即可打開參數(shù)設(shè)置對話框,如圖10所示。該模塊以FIR方式實(shí)現(xiàn)了滾降系數(shù)為1的32階平方根升余弦滾降濾波器。要注意的是濾波器的頻率指標(biāo)的設(shè)計(jì),參數(shù)Fs代表通過該濾波器的離散序列的采樣頻率,即本仿真的系統(tǒng)采樣頻率4kHz;而參數(shù)Fc為濾波器的截止頻率,對于升余弦滾降濾波器和平方根升余弦滾降濾波器

10、,截止頻率即奈奎斯特帶寬1/2T,所以Fc=500Hz。如圖10設(shè)置好參數(shù)后用鼠標(biāo)點(diǎn)擊”Design Filer”就完成了濾波器設(shè)計(jì),濾波器的頻率響應(yīng)顯示在圖10的上部。如果想看濾波器的系數(shù),可用鼠標(biāo)點(diǎn)擊圖10中的濾波器系數(shù)按鈕查看。圖10 數(shù)字濾波器設(shè)計(jì)模塊參數(shù)設(shè)置對話框5.7 用鼠標(biāo)點(diǎn)擊“運(yùn)行仿真模型按鈕”即可運(yùn)行equalizer.mdl,觀察實(shí)驗(yàn)結(jié)果。5實(shí)驗(yàn)數(shù)據(jù)處理方法圖像法6參考文獻(xiàn)1樊昌信,曹麗娜通信原理M北京:國防工業(yè)出版社,2010,2412502 張孟喜,丁濤Matlab實(shí)用教程M北京:清華大學(xué)出版社,2005,1-3253 李永忠,徐靜現(xiàn)代通信原理、技術(shù)與仿真M西安:西安電

11、子科技大學(xué)出版社,2010,2782864 姚俊,馬聰輝Simulink建模與仿真M西安:西安電子科技大學(xué)出版社,2002,349409二、實(shí)驗(yàn)報(bào)告1對實(shí)驗(yàn)現(xiàn)象、實(shí)驗(yàn)結(jié)果的分析及其結(jié)論實(shí)驗(yàn)現(xiàn)象:運(yùn)行equalizer.mdl可以得到如下的仿真結(jié)果。均衡前后的眼圖比較(如圖11、圖12)從均衡前后的眼圖比較可以看到,接收信號(hào)的眼圖是雜亂的,這是因?yàn)樾诺赖木€性失真造成了碼元之間的相互干擾,即碼間串?dāng)_。通過均衡降低碼間串?dāng)_,可以看到“眼睛”明顯睜開了。碼間串?dāng)_的降低使基帶數(shù)字通信系統(tǒng)的噪聲容限增加,減小了過零點(diǎn)失真、峰值失真和對定時(shí)誤差的靈敏度。圖11 接收信號(hào)(均衡之前)的眼圖圖12 經(jīng)均衡之后信

12、號(hào)的眼圖時(shí)域信號(hào)比較(如圖13)從均衡前后信號(hào)波形的比較可以看出均衡后的信號(hào)碼元峰值失真更小,波形更加完整(高低電平的持續(xù)時(shí)間更長),更利于抽樣判決。圖13 均衡前(上)和均衡后(下)信號(hào)波形比較從發(fā)送碼元序列與接收碼元序列比較可以看出,下采樣后的碼元電平和發(fā)送碼元電平相比很接近(圖14)。因?yàn)楸緦?shí)驗(yàn)的均衡器不能完全消除的碼間串?dāng)_,下采樣后的碼元電平上還疊加了小幅度的誤差波形。圖14 接收碼元序列(上)與發(fā)送碼元序列(下)比較圖15 經(jīng)均衡之后信號(hào)的眼圖時(shí)域信號(hào)比較(如圖15)從均衡前后信號(hào)波形的比較可以看出均衡后的信號(hào)碼元峰值失真更小,波形更加完整(高低電平的持續(xù)時(shí)間更長),更利于抽樣判決。圖16 均衡前(上)和均衡后(下)信號(hào)波形比較從發(fā)送碼元序列與接收碼元序列比較可以看出,下采樣后的碼元電平和發(fā)送碼元電平相比很接近(圖16)。因?yàn)楸緦?shí)驗(yàn)的均衡器不能完全消除的碼間串?dāng)_,下采樣后的碼元電平上還疊加了小幅度的誤差波形。圖17 接

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論