計(jì)算機(jī)組成原理練習(xí)題_第1頁
計(jì)算機(jī)組成原理練習(xí)題_第2頁
計(jì)算機(jī)組成原理練習(xí)題_第3頁
計(jì)算機(jī)組成原理練習(xí)題_第4頁
計(jì)算機(jī)組成原理練習(xí)題_第5頁
已閱讀5頁,還剩14頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、計(jì)算機(jī)組成原理課后練習(xí)題第一章 計(jì)算機(jī)系統(tǒng)概論1、從器件角度看,計(jì)算機(jī)經(jīng)歷了五代變化。但從系統(tǒng)結(jié)構(gòu)看,至今絕大多數(shù)計(jì)算機(jī)仍屬于( )計(jì)算機(jī)。A  并行    B  馮·諾依曼    C  智能    D  串行2、 馮·諾依曼機(jī)工作的基本方式的特點(diǎn)是(  )。A  多指令流單數(shù)據(jù)流 B  按地址訪問并順序執(zhí)行指令C  堆棧操作     D  存貯器按內(nèi)容選擇地址

2、60;3、在下面描述的匯編語言基本概念中,正確的表述是(  )。A  對(duì)程序員的訓(xùn)練要求來說,需要硬件知識(shí)   B  匯編語言對(duì)機(jī)器的依賴性高C  用匯編語言編寫程序的難度比高級(jí)語言小 D  匯編語言編寫的程序執(zhí)行速度比高級(jí)語言慢 4、計(jì)算機(jī)硬件能直接執(zhí)行的語言有(  )。A  符號(hào)語言   B  機(jī)器語言C  機(jī)器語言與匯編語言 D  匯編語言 5、下面說法不正確的是(  )。A

3、60; 任何可以由軟件實(shí)現(xiàn)的操作也可以用硬件來實(shí)現(xiàn)   B  直接面向高級(jí)語言的機(jī)器目前已經(jīng)實(shí)現(xiàn)C  固件就功能而言類似于軟件,而從形態(tài)上來說又類似于硬件 D  在計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu)中,微程序?qū)儆谟布?jí),其他四級(jí)都是軟件級(jí)6、 計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu)從下至上可分為五級(jí),即微程序設(shè)計(jì)級(jí)或邏輯電路級(jí)、一般機(jī)器級(jí)、操作系統(tǒng)級(jí)、( )級(jí)、( )級(jí)。7、 取指周期中從內(nèi)存讀出的信息流稱為( )流、執(zhí)行周期中從內(nèi)存讀出的信息流稱為( )流。計(jì)算機(jī)組成原理課后練習(xí)題第二章 運(yùn)算器1、 某機(jī)字長32位,其中1位表示符號(hào)位。若用定點(diǎn)整數(shù)表示,

4、則最小負(fù)整數(shù)為(  )。A  -(231-1)    B  -(230-1)    C  -(231+1)    D  -(230+1)2、 以下有關(guān)運(yùn)算器的描述,(  )是正確的。    A  只做加法運(yùn)算    B  只做算術(shù)運(yùn)算    C  算術(shù)運(yùn)算與邏輯運(yùn)算    D 

5、只做邏輯運(yùn)算3 、在定點(diǎn)二進(jìn)制運(yùn)算器中,減法運(yùn)算一般通過(  )來實(shí)現(xiàn)。A  原碼運(yùn)算的二進(jìn)制減法器B  補(bǔ)碼運(yùn)算的二進(jìn)制減法器C  原碼運(yùn)算的十進(jìn)制加法器D  補(bǔ)碼運(yùn)算的二進(jìn)制加法器4、下列數(shù)中最小的數(shù)是(  )。A  (101001)2  B  (52)8  C  (101001)BCD  D  (233)165、計(jì)算機(jī)系統(tǒng)中采用補(bǔ)碼運(yùn)算是為了(  )。A  與手工運(yùn)算方式保持一致  

6、0; B  提高運(yùn)算速度  C  簡化計(jì)算機(jī)的設(shè)計(jì)    D  提高運(yùn)算的精度6、32位浮點(diǎn)數(shù)格式中,符號(hào)位為1位,階碼為8位,尾數(shù)為23位,則它能表示的最大規(guī)格化正數(shù)為(  )。A  +(2-2-23)×2   B  +(-2-23)×2 C  +(2-2-23)×2   D  2-2-237、在機(jī)器數(shù)中, 的零的表示形式是唯一的。A  原碼    B&#

7、160; 補(bǔ)碼   C  反碼 D  原碼和反碼8、請(qǐng)從下面浮點(diǎn)運(yùn)算器中的描述中選出兩個(gè)描述正確的句子(  )。    A  浮點(diǎn)運(yùn)算器可用兩個(gè)松散連接的定點(diǎn)運(yùn)算部件階碼和尾數(shù)部件實(shí)現(xiàn)。    B  階碼部件可實(shí)現(xiàn)加,減,乘,除四種運(yùn)算。    C  階碼部件只進(jìn)行階碼相加,相減和比較操作。    D  尾數(shù)部件只進(jìn)行乘法和除法運(yùn)算。9、字符信息是符號(hào)數(shù)據(jù),屬于處理(  )領(lǐng)域

8、的問題,國際上采用的字符系統(tǒng)是七單位的(  )碼。10、按IEEE754標(biāo)準(zhǔn),一個(gè)32位浮點(diǎn)數(shù)由符號(hào)位S(1位)、階碼E(8位)、尾數(shù)M(23位)三個(gè)域組成。其中階碼E的值等于指數(shù)的真值( e )加上一個(gè)固定的偏移值( 127 )。11、定點(diǎn)32位字長的字,采用2的補(bǔ)碼形式表示時(shí),一個(gè)字所能表示的整數(shù)范圍是(  )。12、IEEE754標(biāo)準(zhǔn)規(guī)定的64位浮點(diǎn)數(shù)格式中,符號(hào)位為1位,階碼為11位,尾數(shù)為52位,則它能表示的最大規(guī)格化正數(shù)為(  )。13、浮點(diǎn)加、減法運(yùn)算的步驟是(   )、( )、( )、(  )、( 

9、 )。14 、數(shù)的真值變成機(jī)器碼可采用原碼表示法,反碼表示法,( )表示法,(  )表示法。 15、十進(jìn)制數(shù)在計(jì)算機(jī)內(nèi)有兩種表示形式:(  )形式和(   )形式。前者主要用在非數(shù)值計(jì)算的應(yīng)用領(lǐng)域,后者用于直接完成十進(jìn)制數(shù)的算術(shù)運(yùn)算。16、一個(gè)定點(diǎn)數(shù)由符號(hào)位和數(shù)值域兩部分組成。按小數(shù)點(diǎn)位置不同,定點(diǎn)數(shù)有(  )和(  )兩種表示方法。17、直接使用西文鍵盤輸入漢字,進(jìn)行處理,并顯示打印漢字,要解決漢字的(  )、( )和(   )三種不同用途的編碼。18、設(shè)x=-15,y=+13,數(shù)據(jù)用補(bǔ)碼表示,用帶求補(bǔ)

10、器的陣列乘法器求出乘積x×y,并用十進(jìn)制數(shù)乘法進(jìn)行驗(yàn)證。19、已知x=-0.01111,y=+0.11001,求:    x補(bǔ),-x補(bǔ),y補(bǔ),-y補(bǔ);    x+y,x-y,判斷加減運(yùn)算是否溢出。20、有兩個(gè)浮點(diǎn)數(shù)N1=2j1×S1,N2=2j2×S2,其中階碼用4位移碼、尾數(shù)用8位原碼表示(含1位符號(hào)位)。設(shè)j1=(11)2,S1=(+0.0110011)2,j2=(-10)2,S2=(+0.1101101)2,求N1+N2,寫出運(yùn)算步驟及結(jié)果。計(jì)算機(jī)組成原理課后練習(xí)題第三章+第七章 存儲(chǔ)系統(tǒng)1、 EEPROM是指(

11、60; )。A  讀寫存儲(chǔ)器    B  只讀存儲(chǔ)器    C  閃速存儲(chǔ)器    D  電擦除可編程只讀存儲(chǔ)器2、常用的虛擬存儲(chǔ)系統(tǒng)由(  )兩級(jí)存儲(chǔ)器組成,其中輔存是大容量的磁表面存儲(chǔ)器。 A  cache-主存    B  主存-輔存    C  cache-輔存    D  通用寄存器-cache3、某計(jì)算機(jī)字長32位,

12、其存儲(chǔ)容量為256MB,若按單字編址,它的尋址范圍是(  )。  A  064MB    B  032MB    C  032M    D  064M4、主存貯器和CPU之間增加cache的目的是(  )。A  解決CPU和主存之間的速度匹配問題B  擴(kuò)大主存貯器容量C  擴(kuò)大CPU中通用寄存器的數(shù)量  D  既擴(kuò)大主存貯器容量,又?jǐn)U大CPU中通用寄存器的數(shù)量5、虛擬存儲(chǔ)技術(shù)主

13、要解決存儲(chǔ)器的(  )問題。 A  速度    B  擴(kuò)大存儲(chǔ)容量    C  成本    D  前三者兼顧6、某DRAM芯片,其存儲(chǔ)容量為512K×8位,該芯片的地址線和數(shù)據(jù)線的數(shù)目是(  )。    A  8,512    B  512,8    C  18,8    D 

14、19,8 7、交叉存儲(chǔ)器實(shí)質(zhì)上是一種多模塊存儲(chǔ)器,它用(  )方式執(zhí)行多個(gè)獨(dú)立的讀寫操作。  A  流水    B  資源重復(fù)    C  順序    D  資源共享8、假設(shè)某計(jì)算機(jī)的存儲(chǔ)系統(tǒng)由cache和主存組成,某程序執(zhí)行過程中訪存2000次,其中訪問cache缺失(未命中)100次,則cache的命中率為(  )。    A  5%   B&

15、#160; 95% C  50%    D  9.5%9、某機(jī)字長32位,存儲(chǔ)容量1MB,若按字編址,它的尋址范圍是(  )。    A  01M    B  0512KB    C  056K    D  0256KB10、直接映射cache的主要優(yōu)點(diǎn)是實(shí)現(xiàn)簡單。這種方式的主要缺點(diǎn)是(  )。A  它比其他cache映射方式價(jià)格更貴  B

16、0; 如果使用中的2個(gè)或多個(gè)塊映射到cache同一行,命中率則下降C  它的存取時(shí)間大于其它c(diǎn)ache映射方式 D  cache中的塊數(shù)隨著主存容量增大而線性增加  11、某計(jì)算機(jī)的cache共有16行,采用2路組相聯(lián)映射方式(即每組2行)。每個(gè)主存塊大小為32字節(jié),按字節(jié)編址。主存135號(hào)單元所在主存塊應(yīng)裝入到的cache組號(hào)是( )    A  2   B  0    C  4    D  612、某SRAM芯片,其容量為

17、1M×8位,除電源和接地端外,控制端有E和R/W#,該芯片的管腳引出線數(shù)目是(  )。    A  20    B  28    C  30    D  3213、雙端口存儲(chǔ)器所以能進(jìn)行高速讀/寫操作,是因?yàn)椴捎茫?#160; )。A  高速芯片    B  新型器件    C  流水技術(shù)    D

18、  兩套相互獨(dú)立的讀寫電路14、下面陳述中,不屬于虛存機(jī)制要解決的問題項(xiàng)是(  )。A  調(diào)度問題     B  地址映射問題C  替換與更新問題    D  擴(kuò)大物理主存的存儲(chǔ)容量和字長15、下面因素中,與cache的命中率無關(guān)的是(  )。A  主存的存取時(shí)間     B  塊的大小C  cache的組織方式    D  cache的容量16、雙端口存儲(chǔ)器和多模塊

19、交叉存儲(chǔ)器屬于并行存儲(chǔ)器結(jié)構(gòu),其中前者采用(  )并行技術(shù),后者采用(  )并行技術(shù)。 17、廣泛使用的(  )和( )都是半導(dǎo)體隨機(jī)讀寫存儲(chǔ)器。前者的速度比后者快,但集成度不如后者高。18、反映主存速度指標(biāo)的三個(gè)術(shù)語是存取時(shí)間、( )和( )。19、某計(jì)算機(jī)字長32位,其存儲(chǔ)容量為64MB,若按字編址,它的存儲(chǔ)系統(tǒng)的地址線至少需要(  )條。 20、一個(gè)組相聯(lián)映射的Cache,有128塊,每組4塊,主存共有16384塊,每塊64個(gè)字,則主存地址共(   )位,其中主存字塊標(biāo)記應(yīng)為(  )位,組地址應(yīng)為( 

20、; )位,Cache地址共(  )位。21、對(duì)存儲(chǔ)器的要求是容量大、速度快、成本低,為了解決這三方面的矛盾,計(jì)算機(jī)采用多級(jí)存儲(chǔ)體系結(jié)構(gòu),即(  )、(  )、(   )。22、高級(jí)的DRAM芯片增強(qiáng)了基本DRAM的功能,存取周期縮短至20ns以下。舉出三種高級(jí)DRAM芯片,它們是(  )、( )、(   )。23、主存儲(chǔ)器的技術(shù)指標(biāo)有(  ),(  ),(  ),(   )。24、存儲(chǔ)系統(tǒng)中加入chche存儲(chǔ)器的目的是什么?有哪些地址映射方式,各自的特點(diǎn)是什么?25、簡述磁表面存儲(chǔ)器的

21、讀/寫原理。26、比較cache與虛存的相同點(diǎn)和不同點(diǎn)。27、設(shè)存儲(chǔ)器容量為64M字,字長為64位,模塊數(shù)m=8,分別用順序和交叉方式進(jìn)行組織。存儲(chǔ)周期T=100ns,數(shù)據(jù)總線寬度為64位,總線傳送周期t=50ns。    求:順序存儲(chǔ)器和交叉存儲(chǔ)器的帶寬各是多少?28、CPU執(zhí)行一段程序時(shí),cache完成存取的次數(shù)為2420次,主存完成的次數(shù)為80次,已知cache存儲(chǔ)周期為40ns,主存存儲(chǔ)周期為200ns,求cache/主存系統(tǒng)的效率和平均訪問時(shí)間。 29、某計(jì)算機(jī)的存儲(chǔ)系統(tǒng)由cache、主存和磁盤構(gòu)成。cache的訪問時(shí)間為15ns;如果被訪問的

22、單元在主存中但不在cache中,需要用60ns的時(shí)間將其裝入cache,然后再進(jìn)行訪問;如果被訪問的單元不在主存中,則需要10ms的時(shí)間將其從磁盤中讀入主存,然后再裝入cache中并開始訪問。若cache的命中率為90%,主存的命中率為60%,求該系統(tǒng)中訪問一個(gè)字的平均時(shí)間。30、某計(jì)算機(jī)系統(tǒng)的內(nèi)存儲(chǔ)器又cache和主存構(gòu)成,cache的存儲(chǔ)周期為30ns,主存的存取周期為150ns。已知在一段給定的時(shí)間內(nèi),CPU共訪問內(nèi)存5000次,其中400次訪問主存。問:      cache的命中率是多少?      CP

23、U訪問內(nèi)存的平均時(shí)間是多少納秒?      cache-主存系統(tǒng)的效率是多少?31、已知cache存儲(chǔ)周期40ns,主存存儲(chǔ)周期200ns,cache/主存系統(tǒng)平均訪問時(shí)間為50ns,求cache的命中率是多少?32、用定量分析方法證明多模塊交叉存儲(chǔ)器帶寬大于順序存儲(chǔ)器帶寬。33、用512K×16位的Flash存儲(chǔ)器芯片組成一個(gè)2M×32的半導(dǎo)體只讀存儲(chǔ)器,試問:(1) 數(shù)據(jù)寄存器多少位。(2) 指令寄存器多少位。(3) 共需要多少個(gè)這樣的存儲(chǔ)器件。(4) 畫出此存儲(chǔ)器的組成框圖。34、有一個(gè)具有22位地址和32位字長的存儲(chǔ)器,問:(

24、1) 該存儲(chǔ)器能存儲(chǔ)多少字節(jié)的信息?(2) 如果存儲(chǔ)器由512K×16位的SRAM芯片組成,需要多少片?(3) 需要多少位地址作芯片選擇?35、一盤組共11片,記錄面為20面,每面上外道直徑為14英寸,內(nèi)道直徑為10英寸,分203道。數(shù)據(jù)傳輸率為983040字節(jié)/秒,磁盤組轉(zhuǎn)速為3600轉(zhuǎn)/分。假定每個(gè)記錄面記錄1024字節(jié),且系統(tǒng)可掛多達(dá)16臺(tái)這樣的磁盤,請(qǐng)?jiān)O(shè)計(jì)適當(dāng)?shù)拇疟P格式地址,并計(jì)算總存儲(chǔ)容量。36、磁盤組有6片磁盤,每片有兩個(gè)記錄面,最上和最下兩個(gè)面不用。存儲(chǔ)區(qū)域內(nèi)徑22cm,外徑33cm,道密度為40道/cm,內(nèi)層位密度400位/cm,轉(zhuǎn)速6000轉(zhuǎn)/分。問:(1) 共有多

25、少柱面?(2) 磁盤總存儲(chǔ)容量為多少?(3) 數(shù)據(jù)傳送率是多少?(4) 采用定長數(shù)據(jù)塊記錄格式直接尋址的最小單位是什么?尋址命令中如何表示磁盤地址?(5) 如果某文件長度超過了一個(gè)磁道的容量,應(yīng)將它記錄在同一個(gè)存儲(chǔ)面上,還是記錄在同一個(gè)柱面上?計(jì)算機(jī)組成原理課后練習(xí)題第四章 指令系統(tǒng) 1、單地址指令中為了完成兩個(gè)數(shù)的算術(shù)運(yùn)算,除地址碼指明的一個(gè)操作數(shù)外,另一個(gè)常需采用(  )。A  堆棧尋址方式    B  立即尋址方式   C  隱含尋址方式    D 

26、 間接尋址方式2、寄存器間接尋址方式中,操作數(shù)在(  )。   A  通用寄存器    B  主存單元    C  程序計(jì)數(shù)器    D  堆棧3、用于對(duì)某個(gè)寄存器中操作數(shù)的尋址方式為(  )。A  直接    B  間接   C  寄存器    D  寄存器間接4、程序控制類的指令功能是(

27、0; )。A  進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算B  進(jìn)行主存與CPU之間的數(shù)據(jù)傳送C  進(jìn)行CPU和I/O設(shè)備之間的數(shù)據(jù)傳送D  改變程序執(zhí)行的順序5、下面說法中,不符合RISC指令系統(tǒng)特點(diǎn)的是(  )。A  指令長度固定,指令種類少B  尋址方式種類盡量減少,指令功能盡可能強(qiáng)C  增加寄存器數(shù)據(jù),以盡量減少訪存次數(shù)D  選取使用頻率最高的一些簡單指令,以及很有用但不復(fù)雜的指令。6、形成指令地址的方法稱為指令尋址,通常是(  )尋址,遇到轉(zhuǎn)移指令時(shí)( )尋址。7、一個(gè)較完善的指令系統(tǒng),應(yīng)當(dāng)有( 

28、0; )、(   )、( )、(   )四大類指令。8、機(jī)器指令對(duì)四種類型的數(shù)據(jù)進(jìn)行操作。這四種數(shù)據(jù)類型包括(   )型數(shù)據(jù)、(  )型數(shù)據(jù)、(  )型數(shù)據(jù)、(   )型數(shù)據(jù)。9、某機(jī)的指令格式如下所示     X為尋址特征位:X=00:直接尋址;X=01:用變址寄存器RX1尋址;X=10:用變址寄存器RX2尋址;X=11:相對(duì)尋址    設(shè)(PC)=1234H,(RX1)=0037H,(RX2)=1122H(H代表十六進(jìn)制數(shù)),請(qǐng)確定下列指令中的有效地址: 442

29、0H    2244H    1322H    3521H10、一種二進(jìn)制RS型32位的指令結(jié)構(gòu)如下:    其中OP為操作碼字段,X為尋址模式字段,D為偏移量字段,其尋址模式定義為有效地址E算法及說明列表如下:請(qǐng)寫出6種尋址方式的名稱。計(jì)算機(jī)組成原理課后練習(xí)題第五章 Cpu1、RISC訪內(nèi)指令中,操作數(shù)的物理位置一般安排在(  )。A  棧頂和次棧頂     B  兩個(gè)主存單元C  一個(gè)主存單元和一個(gè)通用

30、寄存器    D  兩個(gè)通用寄存器2、當(dāng)前的CPU由(  )組成。A  控制器     B  控制器、運(yùn)算器、cacheC  運(yùn)算器、主存    D  控制器、ALU、主存3、流水CPU是由一系列叫做“段”的處理部件組成。和具備m個(gè)并行部件的CPU相比,一個(gè)m段流水CPU的吞吐能力是(  )。A  具備同等水平     B  不具備同等水平C  小于前者  

31、  D  大于前者4、同步控制是(  )。A  只適用于CPU控制的方式B  只適用于外圍設(shè)備控制的方式C  由統(tǒng)一時(shí)序信號(hào)控制的方式D  所有指令執(zhí)行時(shí)間都相同的方式5、下列部件中不屬于控制器的部件是(  )。A  狀態(tài)條件寄存器    B  指令寄存器C  程序計(jì)數(shù)器    D  操作控制器6、流水線中造成控制相關(guān)的原因是執(zhí)行(  )指令而引起。  A  條件轉(zhuǎn)移 

32、0;  B  訪內(nèi)    C  算邏    D  無條件轉(zhuǎn)移7、指令周期是指(  )。A  CPU從主存取出一條指令的時(shí)間B  CPU執(zhí)行一條指令的時(shí)間C  CPU從主存取出一條指令加上執(zhí)行一條指令的時(shí)間    D  時(shí)鐘周期時(shí)間8、運(yùn)算器的核心功能部件是(  )。A  數(shù)據(jù)總線    B  ALU    C  狀態(tài)條

33、件寄存器    D  通用寄存器9、為確定下一條微指令的地址,通常采用斷定方式,其基本思想是(  )。    A  用程序計(jì)數(shù)器PC來產(chǎn)生后繼微指令地址    B  用微程序計(jì)數(shù)器µPC來產(chǎn)生后繼微指令地址    C  通過微指令順序控制字段由設(shè)計(jì)者指定或由設(shè)計(jì)者指定的判別字段控制產(chǎn)生后繼微指令地址    D  通過指令中指定一個(gè)專門字段來控制產(chǎn)生后繼微指令地址 10

34、、微程序控制器中,機(jī)器指令與微指令的關(guān)系是(  )。    A  每一條機(jī)器指令由一條微指令來執(zhí)行    B  每一條機(jī)器指令由一段用微指令編成的微程序來解釋執(zhí)行    C  一段機(jī)器指令組成的程序可由一條微指令來執(zhí)行    D  一條微指令由若干條機(jī)器指令組成11、CPU中跟蹤指令后繼地址的寄存器是(  )。    A  地址寄存器    B&#

35、160; 程序計(jì)數(shù)器    C  指令寄存器    D  通用寄存器12、某寄存器中的數(shù)值為指令碼,只有CPU的(  )才能識(shí)別它。    A  指令譯碼器    B  判斷程序    C  微指令    D  時(shí)序信號(hào)13、下列表述中,微指令結(jié)構(gòu)設(shè)計(jì)不追求的是(  )。    A  提高微程序的執(zhí)

36、行速度    B  提高微程序設(shè)計(jì)的靈活性   C  縮短微指令的長度     D  增大控制存儲(chǔ)器的容量14、CPU從內(nèi)存取出一條指令并執(zhí)行該指令的時(shí)間稱為(  ),它常用若干個(gè)(  )來表示。15、CPU中保存當(dāng)前正在執(zhí)行的指令的寄存器是(  ),指示下一條指令地址的寄存器是(  ),保存算術(shù)邏輯運(yùn)算結(jié)果的寄存器是( )和(  )。16、CPU中有哪幾類主要寄存器,用一句話回答其功能。17、指令和數(shù)據(jù)都用二進(jìn)制代碼存放在內(nèi)存中,從時(shí)

37、空觀角度回答CPU如何區(qū)分讀出的代碼是指令還是數(shù)據(jù)。18、指令流水線有取指(IF)、譯碼(ID)、執(zhí)行(EX)、寫回寄存器堆(WB)四個(gè)過程段,共有12條指令連續(xù)輸入此流水線。要求:      畫出流水處理的時(shí)空?qǐng)D,假設(shè)時(shí)鐘周期100ns。      求流水線的實(shí)際吞吐率(單位時(shí)間里執(zhí)行完畢的指令數(shù))。   求流水CPU的加速比。19、判斷以下三組指令中各存在哪種類型的數(shù)據(jù)相關(guān)?    I1 LDA R1,A      ;M(

38、A)R1,M(A)是存儲(chǔ)器單元      I2 ADD R2,R1      ;(R2)+(R1)R2    I3 ADD R3,R4      ;(R3)+(R4)R3      I4 MUL R4,R5      ;(R4)×(R5)R4    I5 LDA R6,B

39、0;     ;M(B)R6,M(B)是存儲(chǔ)器單元      I6 MUL R6,R7      ;(R6)×(R7)R620、某計(jì)算機(jī)有下圖所示的功能部件,其中M為主存,指令和數(shù)據(jù)均存放在其中,MDR為主存數(shù)據(jù)寄存器,MAR為主存地址寄存器,R0R3為通用寄存器,IR為指令寄存器,PC為程序計(jì)數(shù)器(具有自動(dòng)加1功能),C、D為暫存寄存器,ALU為算術(shù)邏輯單元,移位器可左移、右移、直通傳送。    將所有功能部件連接

40、起來,組成完整的數(shù)據(jù)通路,并用單向或雙向箭頭表示信息傳送方向。    畫出“ADD R1,(R2)”指令周期流程圖。該指令的含義是將R1中的數(shù)與(R2)指示的主存單元中的數(shù)相加,相加的結(jié)果直通傳送至R1中。    若另外增加一個(gè)指令存貯器,修改數(shù)據(jù)通路,畫出的指令周期流程圖。21、某機(jī)器單字長指令為32位,共有40條指令,通用寄存器有128個(gè),主存最大尋址空間為64M。尋址方式有立即尋址、直接尋址、寄存器尋址、寄存器間接尋址、基值尋址、相對(duì)尋址六種。請(qǐng)?jiān)O(shè)計(jì)指令格式,并做必要說明。22、如果一條指令的執(zhí)行過程分為取指令、指令譯碼、指令執(zhí)

41、行三個(gè)子過程,每個(gè)子過程時(shí)間都為100ns。 請(qǐng)分別畫出指令順序執(zhí)行和流水執(zhí)行方式的時(shí)空?qǐng)D。 計(jì)算兩種情況下執(zhí)行n=1000條指令所需的時(shí)間。 流水方式比順序方式執(zhí)行指令的速度提高了幾倍?23、CPU的數(shù)據(jù)通路如圖1所示。運(yùn)算器中R0R3為通用寄存器,DR為數(shù)據(jù)緩沖寄存器,PSW為狀態(tài)字寄存器。D-cache為數(shù)據(jù)存儲(chǔ)器,I-cache為指令存儲(chǔ)器,PC為程序計(jì)數(shù)器(具有加1功能),IR為指令寄存器。單線箭頭信號(hào)均為微操作控制信號(hào)(電位或脈沖),如LR0表示讀出R0寄存器,SR0表示寫入R0寄存器。機(jī)器指令“LDA(R3),R0”實(shí)現(xiàn)的功能是:以(R3)的內(nèi)容為數(shù)存單元地址,讀出數(shù)存該單元中數(shù)

42、據(jù)至通用寄存器R0中。請(qǐng)畫出該取數(shù)指令周期流程圖,并在CPU周期框外寫出所需的微操作控制信號(hào)。(一個(gè)CPU周期有T1T4四個(gè)時(shí)鐘信號(hào),寄存器打入信號(hào)必須注明時(shí)鐘序號(hào))24、CPU的數(shù)據(jù)通路也如上圖1所示。運(yùn)算器中R0R3為通用寄存器,DR為數(shù)據(jù)緩沖寄存器,PSW為狀態(tài)字寄存器。D-cache為數(shù)據(jù)存儲(chǔ)器,I-cache為指令存儲(chǔ)器,PC為程序計(jì)數(shù)器(具有加1功能),IR為指令寄存器。單線箭頭信號(hào)均為微操作控制信號(hào)(電位或脈沖),如LR0表示讀出R0寄存器,SR0表示寫入R0寄存器。    機(jī)器指令“STO R1,(R2)”實(shí)現(xiàn)的功能是:將寄存器R1中的數(shù)據(jù)寫入到以

43、(R2)為地址的數(shù)存單元中。請(qǐng)畫出該存數(shù)指令周期流程圖,并在CPU周期框外寫出所需的微操作控制信號(hào)。(一個(gè)CPU周期含T1T4四個(gè)時(shí)鐘信號(hào),寄存器打入信號(hào)必須注明時(shí)鐘序號(hào)) 25、CPU的數(shù)據(jù)通路如上圖1所示。運(yùn)算器中R0R3為通用寄存器,DR為數(shù)據(jù)緩沖寄存器,PSW為狀態(tài)字寄存器。D-cache為數(shù)據(jù)存儲(chǔ)器,I-cache為指令存儲(chǔ)器,PC為程序計(jì)數(shù)器(具有加1功能),IR為指令寄存器。單線箭頭信號(hào)均為微操作控制信號(hào)(電位或脈沖),如LR0表示讀出R0寄存器,SR0表示寫入R0寄存器。    機(jī)器指令“JMP (R3)”實(shí)現(xiàn)的功能是:將寄存器(R3)的

44、內(nèi)容2008送到程序計(jì)數(shù)器PC,下一條指令將從指存2008號(hào)單元讀出執(zhí)行。JMP是無條件轉(zhuǎn)移指令。畫出JMP指令周期流程圖,并在CPU周期外標(biāo)出所需的微操作控制信號(hào)。(一個(gè)CPU周期含T1T4四個(gè)時(shí)鐘信號(hào),打入寄存器信號(hào)必須注明時(shí)鐘序號(hào))26、圖2所示為雙總線結(jié)構(gòu)機(jī)器的數(shù)據(jù)通路,IR為指令寄存器,PC為程序計(jì)數(shù)器(具有自增功能),M為主存(受R/W#信號(hào)控制),AR為地址寄存器,DR為數(shù)據(jù)緩沖寄存器,ALU由加、減控制信號(hào)決定完成何種操作,控制信號(hào)G控制的是一個(gè)門電路。另外,線上標(biāo)注有小圈表示有控制信號(hào),例中yi表示y寄存器的輸入控制信號(hào),R1o為寄存器R1的輸出控制信號(hào),未標(biāo)字符的線為直通線

45、,不受控制。  “ADDR2,R0”指令完成(R0)+(R2)R0的功能操作,畫出其指令周期流程圖,假設(shè)該指令的地址已放入PC中。并在流程圖每一個(gè)CPU周期右邊列出相應(yīng)的微操作控制信號(hào)序列。  若將(取指周期)縮短為一個(gè)CPU周期,請(qǐng)先畫出修改數(shù)據(jù)通路,然后畫出指令周期流程圖。27、上圖2所示為雙總線結(jié)構(gòu)的機(jī)器,IR為指令寄存器,PC為程序計(jì)數(shù)器(具有加1功能),M為主存(受R/W#讀寫信號(hào)控制),AR為主存地址寄存器,DR為數(shù)據(jù)緩沖寄存器,ALU內(nèi)+-控制信號(hào)決定完成何種操作信號(hào),控制信號(hào)G控制的一個(gè)門電路。所有箭頭線上的小圈表示控制信號(hào)的輸入/輸出點(diǎn)。例如R1i表示寄存

46、器R1的輸入,R1O表示寄存器R1的輸出。未標(biāo)信號(hào)的線表示直通,不受控制。      “ADD R2,R0”指令完成(R0)+(R2)R0的功能操作。畫出指令周期流程圖。      若將主存M分成數(shù)存和指存兩個(gè)存儲(chǔ)器,通用寄存器R0R3的輸出直接連到x或y暫存器。請(qǐng)修改數(shù)據(jù)通路,畫出“ADD R2,R0”指令的指令周期流程圖。   執(zhí)行同一個(gè)ADD指令,第種情況下機(jī)器速度提高多少倍?計(jì)算機(jī)組成原理課后練習(xí)題第六章 總線系統(tǒng)1、在集中式總線仲裁中,(  )方式響應(yīng)時(shí)間最快。  

47、  A  獨(dú)立請(qǐng)求    B  計(jì)數(shù)器定時(shí)查詢    C  菊花鏈2、從信息流的傳輸速度來看,(  )系統(tǒng)工作效率最低。    A  單總線    B  雙總線    C  三總線    D  多總線3、在集中式總線仲裁中,(  )方式對(duì)電路故障最敏感。    A  菊花鏈    B  獨(dú)立請(qǐng)求    C  計(jì)數(shù)器定時(shí)查詢4、數(shù)據(jù)總線的寬度由總線的(  )定義。 

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論