基于MATLAB的三相橋式PWM逆變電路資料_第1頁
基于MATLAB的三相橋式PWM逆變電路資料_第2頁
基于MATLAB的三相橋式PWM逆變電路資料_第3頁
基于MATLAB的三相橋式PWM逆變電路資料_第4頁
基于MATLAB的三相橋式PWM逆變電路資料_第5頁
已閱讀5頁,還剩20頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、交流調(diào)速系統(tǒng)課程設(shè)計(jì)題目: 三相橋式SPWM逆變器的仿真設(shè)計(jì)班級(jí):_0姓名:學(xué)號(hào):指導(dǎo)老師:目錄摘要2關(guān)鍵詞2緒論2三相橋式SPWM逆變器的設(shè)計(jì)內(nèi)容及要求3SPWM逆變器的工作原理31工作原理52限制方式63正弦脈寬調(diào)制的算法9MAT1AB仿真設(shè)計(jì)12硬件實(shí)驗(yàn)19實(shí)驗(yàn)總結(jié)23附錄 Matab簡(jiǎn)介24參考文獻(xiàn)2418三相橋式SPWM逆變電路設(shè)計(jì)摘要:隨著電力電子技術(shù)的飛速開展,正弦波輸出變壓變頻電源已被廣泛應(yīng)用在 各個(gè)領(lǐng)域中,與此同時(shí)對(duì)變壓變頻電源的輸出電壓波形質(zhì)量也提出了越來越高的 要求.對(duì)逆變器輸出波形質(zhì)量的要求主要包括兩個(gè)方面:一是穩(wěn)態(tài)精度高;二是 動(dòng)態(tài)性能好.因此,研究開發(fā)既簡(jiǎn)單乂具有優(yōu)

2、良動(dòng)、靜態(tài)性能的逆變器限制策略, 已成為電力電子領(lǐng)域的研究熱點(diǎn)之一.在現(xiàn)有的正弦波輸出變壓變頻電源產(chǎn)品中,為了得到SPWM波,一般都采用 雙極性調(diào)制技術(shù).該調(diào)制方法的最大缺點(diǎn)是它的6個(gè)功率管都工作在較高頻率 載波頻率,從而產(chǎn)生了較大的開關(guān)損耗,開關(guān)頻率越高,損耗越大.本實(shí)驗(yàn)針 對(duì)正弦波輸出變壓變頻電源SPWM調(diào)制方式及數(shù)字化限制策略進(jìn)行了研究,以 SG3525為主控芯片,以期得到一種較理想的調(diào)制方法,實(shí)現(xiàn)逆變電源變壓、變 頻輸出.關(guān)鍵詞:逆變器SPWM逆變器的丄作原理正弦脈寬調(diào)制的調(diào)制算法 單極性正弦脈寬調(diào)制 雙極性正弦脈寬調(diào)制自然采樣法規(guī)那么采樣法雙極性正弦波等面積法一、緒論正弦逆變電源作為

3、一種可將直流電能有效地轉(zhuǎn)換為交流電能的電能變換裝 置被廣泛地應(yīng)用于國(guó)民經(jīng)濟(jì)生產(chǎn)生活中,其中有:針對(duì)計(jì)算機(jī)等重要負(fù)載進(jìn)行斷 電保護(hù)的交流不間斷電源UPS Uninterruptle Power Supply;針對(duì)交流異步電動(dòng) 機(jī)變頻調(diào)速限制的變頻調(diào)速器;針對(duì)智能樓寧消防與安防的應(yīng)急電源EPS Emergence Power Supply;針對(duì)船舶工業(yè)用 電的岸電電源SPSShore Power Supply;還有針對(duì)風(fēng)力發(fā)電、太陽能發(fā)電等而開發(fā)的特種逆變電源等等.隨著限制 理論的開展與電力電子器件的不斷革新,特別是以絕緣柵極雙極型晶體管 IGBT Insulated Gate Bipolar T

4、ransistor為代表的自關(guān)斷可控型功率半導(dǎo)體器件岀 現(xiàn),大大簡(jiǎn)化了正弦逆變電源的換相問題,為各種PWM型逆變限制技術(shù)的實(shí) 現(xiàn)提供了新的實(shí)現(xiàn)方法,從而進(jìn)一步簡(jiǎn)化了正弦逆變系統(tǒng)的結(jié)構(gòu)與限制.電力電子器件的開展經(jīng)歷了晶閘管SCR、可關(guān)斷晶閘管GT0、晶體管BJT、 絕緣柵晶體管IGBT等階段.口前正向著大容量、高頻率、易驅(qū)動(dòng)、低損耗、 模塊化、復(fù)合化方向開展,與其他電力電子器件相比,IGBT具有高可鼎性、驅(qū) 動(dòng)簡(jiǎn)單、保護(hù)容易、不用緩沖電路和開關(guān)頻率高等特點(diǎn),為了到達(dá)這些高性能, 采用了許多用于集成電路的工藝技術(shù),如外延技術(shù)、離子注入、精細(xì)光刻等.IGBT最大的優(yōu)點(diǎn)是無論在導(dǎo)通狀態(tài)還是短路狀態(tài)都可

5、以承受電流沖擊.它 的并聯(lián)不成問題,山于本身的關(guān)斷延遲很短,其串聯(lián)也容易.盡管IGBT模塊在 大功率應(yīng)用中非常廣泛,但其有限的負(fù)載循環(huán)次數(shù)使其可鼎性成了問題,其主要 失效機(jī)理是陰極引線焊點(diǎn)開路和焊點(diǎn)較低的疲勞強(qiáng)度,另外,絕緣材料的缺陷也 是一個(gè)問題.二、三相橋式SPWM逆變器的設(shè)計(jì)內(nèi)容及要求對(duì)三相橋式spwm逆變電路的主電路及限制電路進(jìn)行設(shè)計(jì),參數(shù)要求如下:直 流電壓為100 V.三相阻感負(fù)載,負(fù)載中R二2.,L二ImH,要求頻率范圍:10Hz、100Hz. 設(shè)計(jì)要求:1.理論設(shè)計(jì):了解掌握三相橋式PWM逆變電路的工作原理,設(shè)計(jì)三相 橋式PWM逆變電路的主電路和限制電路.包括:IGBT電流,電

6、壓額定的選擇驅(qū)動(dòng)保護(hù)電路的設(shè)訃畫出完整的主電路原理圖和限制原理圖列出主電路所用元器件的明細(xì)表2. 仿真試驗(yàn):利用MATLAB仿真軟件對(duì)三相橋式spwm逆變電路的主電路及控 制電路進(jìn)行仿真建模,元件管腳數(shù),并進(jìn)行仿真試驗(yàn).3. 實(shí)際制作:利m PROTEL軟件繪出原理圖,結(jié)合具體所用外型尺寸,考慮散 熱和抗干擾等因素,設(shè)ti PCB印刷電路板.最后完成系統(tǒng)電路組裝,調(diào)試.三、SPWM逆變器的工作原理山于期望的逆變器輸出是一個(gè)正弦電壓波形,可以把一個(gè)正弦半波分作X等 分.然后把每一等分的正弦曲線與橫軸所包圍的面積都用個(gè)與此面積相等的等高 矩形脈沖來代替,矩形脈沖的中點(diǎn)與正弦波每一等分的中點(diǎn)重合.這

7、樣,由N 個(gè)等幅不等寬的矩形脈沖所組成的波形為正弦的半周等效.同樣,正弦波的負(fù)半 周也可用相同的方法來等效.這一系列脈沖波形就是所期望的逆變器輸出SPWM波形.山于各脈沖的幅值 相等,所以逆變器可山恒定的直流電源供電,也就是說,這種交一直一交變頻器 中的整流器采用不可控的二極管整流器就可以了見圖2-1, 2-2, 2-3 .逆變 器輸出脈沖的幅值就是整流器的輸出電壓.當(dāng)逆變器各開關(guān)器件都是在理想狀態(tài)下工作時(shí),驅(qū)動(dòng)相應(yīng)開關(guān)器件的信號(hào)也應(yīng)為與形狀相似的一系列脈沖波形,這是 很容易推斷出來的.從理論上講,這一系列脈沖波形的寬度可以嚴(yán)格地用計(jì)算方法求得,作為控 制逆變器中各開關(guān)器件通斷的依據(jù).但較為實(shí)

8、用的方法是引用通信技術(shù)中的“調(diào) 制這一概念,以所期望的波形在這里是正弦波作為調(diào)制波 ModulationWave ,而受它調(diào)制的信號(hào)稱為載波Carrier Wave .在SPWM中 常用等腰三角波作為載波,由于等腰三角波是上下寬度線性對(duì)稱變化的波形,當(dāng) 它與任何一個(gè)光滑的曲線相交時(shí),在交點(diǎn)的時(shí)刻限制開關(guān)器件的通斷,即可得到 一組等幅而脈沖寬度正比于該曲線函數(shù)值的矩形脈沖,這正是SPWM所需要的結(jié) 果50HZ調(diào)壓調(diào)頻2-1可控整流器調(diào)壓、六拍逆變器變頻ACDC y斬波器DCA50HZ不可控整流j調(diào)壓調(diào)頻2-2不控整流、斬波器調(diào)壓、六拍逆變器變頻調(diào)壓調(diào)頻2-3不控整流.PWM逆變器調(diào)壓調(diào)頻1.工作

9、原理圖2-4是SPWM變頻器的主電路,圖中VTVT6是逆變器的六個(gè)功率開關(guān)器 件(在這里畫的是IGBT),各由一個(gè)續(xù)流二極管反并聯(lián),整個(gè)逆變器由恒值直流 電壓U供電.圖2-5是它的限制電路,一組三相對(duì)稱的正弦參考電壓信號(hào) 由參 考信號(hào)發(fā)生器提供,其頻率決定逆變器輸出的基波頻率,應(yīng)在所要求的輸出頻率 范圍內(nèi)可調(diào).參考信號(hào)的幅值也可在一定范圍內(nèi)變化,決定輸出電壓的大小.三 角載波信號(hào)"u是共用的,分別與每相參考電壓比擬后,給出“正或"零的 飽和輸出,產(chǎn)生SPWM脈沖序列波U腫U上 作為逆變器功率開關(guān)器件的 驅(qū)動(dòng)限制信號(hào).當(dāng)匕舁加=一匕/2時(shí),給V4導(dǎo)通信號(hào),給VI關(guān)斷信號(hào)uUN

10、.=-Ud/2 給VI (V4)加導(dǎo)通信號(hào)時(shí),可能是VI (V4)導(dǎo)通,也可能是VD1 (VD4)導(dǎo)通.匕和 叭的的PWM波形只有±Ud/2兩種電平.當(dāng)urU>uc時(shí),給VI導(dǎo)通信號(hào),給V4 關(guān)斷信號(hào),uUN,=-Ud/2O Uuv波形可由UUN. -UVN.得出,當(dāng)1和6通時(shí), 11 uv =Ud ,當(dāng)3和4通時(shí),二一匕,當(dāng)1和3或4和6通時(shí),Uuv =0.輸出 線電壓PWM波由土Ud和0三種電平構(gòu)成負(fù)載相電壓PWM波由(±2/3)匕、(±1/3) «和0共5種電平組成.防直通的死區(qū)時(shí)間同一相上下兩臂的驅(qū)動(dòng)信號(hào)互補(bǔ),為預(yù)防上下臂直通而造 成短路,

11、留一小段上下臂都施加關(guān)斷信號(hào)的死區(qū)時(shí)間.死區(qū)時(shí)間的長(zhǎng)短主要山開 關(guān)器件的關(guān)斷時(shí)間決定.死區(qū)時(shí)間會(huì)給輸出的PWM波帶來影響,使其稍稍偏離正 弦波.三相正弦波發(fā)生器驅(qū)動(dòng)逆 變器的 開關(guān)元 件三角波發(fā)生器2-5限制電路2.限制方式脈寬調(diào)制的限制方式從調(diào)制脈沖的極性上看,可分為單極性和雙極性之分: 參加調(diào)制的載波和參考信號(hào)的極性不變,稱為單極性調(diào)制;相反,三角載波信號(hào) 和正弦波信號(hào)具有正負(fù)極性,那么稱為雙極性調(diào)制.(1)單極性正弦脈寬調(diào)制單極性正弦脈寬調(diào)制用幅值為匕的參考信號(hào)波匕與幅值為頻率為fo的 三角波/比擬,產(chǎn)生功率開關(guān)信號(hào).其原理波形如圖2-6所示.圖2-6是用單 相正弦波全波整流電壓信號(hào)與單

12、向三角形載波交截,再通過倒相產(chǎn)生功率開關(guān)驅(qū) 動(dòng)信號(hào).參考波頻率fr決定了輸出頻率fo,每半周期的脈沖數(shù)P決定于載波頻率fco 即:(2-1)用參考電壓信號(hào)的幅值Ur,與三角形載波信號(hào)的幅值Uc的比值,即調(diào)制度 m = Ur/Uc,來限制輸出電壓變化.當(dāng)調(diào)制度由01變化時(shí),脈寬由0幾/p變化, 輸出電壓III 0" E變化.如果每個(gè)脈沖寬度為0,那么輸出電壓的傅里葉級(jí)數(shù)展開 式為:oo(0 = 2L (A? cos “3.+ sin ncoO)H-I(2-2)系數(shù)An和Bn山每個(gè)脈寬為0,起始角為a的正脈沖來決定和對(duì)應(yīng)的負(fù)脈 沖起始角n + a來決定.如果笫j個(gè)脈沖的起始角為«

13、;j那么有人 匕4EnOA = > sincosy = l U712(23a)= > sin sin n cr + 臺(tái)"2 LI山式(2-3a)、式(2-3b)可訃算輸出電壓的傅里葉級(jí)數(shù)的系數(shù).f2E.4 = L S1sin n (cij + 0) - sin na-F cosna; -cos0.(2-3b)(2 - 4a)(2-4b)( e a, + 7 22-6 單極性正選脈寬調(diào)制SPWM原理波形(2)雙極性正弦脈寬調(diào)制雙極性正弦脈寬調(diào)制的輸出電壓uO(t)波形在02八區(qū)間關(guān)于中央對(duì)稱、在000J區(qū)間關(guān)于軸對(duì)稱,其傅里葉級(jí)數(shù)展開式為t/o(0= Z Bn Sin nC

14、Ot幾=1.3.5(2-5)B如 sinmxd(dx) 式(2-5)中輸出電壓uO (t)可看成是幅值為E,頻率為f.的方波與幅值為2E、頻率為fc的負(fù)脈沖序列(起點(diǎn)和終點(diǎn)分別為色心2,勺°2“"勺卩的疊加.因此j E sin ncotd () - j* E sin ncotd (cot)(2-6)4Enn1 -為(cos na- cos na2,)-f 4Esinna)td(cot)f Esinncatd(a)t)那么輸出電壓為x 4F5(甘z蘭1 -,( COS Hd、 - COS Ha2 j ) sisin ncot (27)輸岀電圧基波分量l(t)為sin ncot

15、(2-8)4E卩 /、t/01 (f)= 1 _ 力(cosna2 一 _cos na2 J n 兀j-i需要注意的是,從主回路上看,對(duì)于雙極性調(diào)制,由于同一橋臂上的兩個(gè)開 關(guān)元件始終輪流交替通斷,因此容易引起電源短路,造成環(huán)流.為預(yù)防環(huán)流,就 必須增設(shè)延時(shí)觸發(fā)環(huán)節(jié),設(shè)置死區(qū).3正弦脈寬調(diào)制的調(diào)制算法三角波變化一個(gè)周期,它與正弦波有兩個(gè)交點(diǎn),限制逆變器中開關(guān)元件導(dǎo) 通和關(guān)斷各一次.要準(zhǔn)確的生成SPWM波形,就要精確的計(jì)算出這兩個(gè)點(diǎn)的時(shí)間. 開關(guān)元件導(dǎo)通時(shí)間是脈沖寬度,關(guān)斷時(shí)間是脈沖間隙.正弦波的頻率和幅值不同 時(shí),這些時(shí)間也不同,但對(duì)計(jì)算機(jī)來說,時(shí)間山軟件實(shí)現(xiàn),時(shí)間的限制山定時(shí)器 完成,是很方

16、便的,關(guān)鍵在于調(diào)制算法.調(diào)制算法主要有自然采樣法、規(guī)那么采樣 法、等面積法等.1. 自然采樣法根據(jù)SPWM限制的根本原理,在正弦波與三角波的交點(diǎn)進(jìn)行脈沖寬度和間隙 的采樣,去生成SPWM波形,成為自然采樣法.如圖2-8所示圖2-8自然采樣法原理圖2. 規(guī)那么采樣法為使采樣法的效果既接近自然采樣法,沒有過多的復(fù)雜運(yùn)算,乂提出了規(guī)那么 采樣法.其出發(fā)點(diǎn)是設(shè)法使SPWM波形的每個(gè)脈沖都與三角波中央線對(duì)稱.這樣, 圖2-9中的法.計(jì)算就大大簡(jiǎn)化了.3. 雙極性正弦波等面積法正弦波等面積算法的根本原理為:將一個(gè)正弦波等分成h,個(gè)區(qū)段,區(qū)段數(shù)a 一定是6的整數(shù)倍,由于三相正弦波,各項(xiàng)相位互差120.,要從

17、一相正弦波方便 地得到其他兩相,必須把一個(gè)周期分成6的整數(shù)倍.由圖2-10可見,乩越大, 輸出波形越接近正弦波.在每一個(gè)區(qū)段,等分成假設(shè)干個(gè)等寬脈沖(N),使這'個(gè) 等寬脈沖面積等于這一區(qū)段正弦波面積.采用這種方法既可以提升開關(guān)頻率,改 善波形,乂可以減少計(jì)算新脈沖的數(shù)量,節(jié)省計(jì)算機(jī)計(jì)算時(shí)間川山.如圖2-10所 示其正弦波面積為A=J Un sincatdcot =U“ (coscotx - coscot2)J、1圖2-10正弦波等面積法生成SPWM輸岀頻率/與區(qū)段數(shù)乩,每個(gè)區(qū)段脈沖數(shù)N及脈沖周期7;訕之間的關(guān)/ =h(ntE四、MAT1AB仿真設(shè)計(jì)1主電路整個(gè)三相橋式PWM逆變電路的

18、設(shè)計(jì)分為兩塊一一主電路局部和限制電路部 分.據(jù)原理圖1,在Simulink中搭建系統(tǒng)主電路如下列圖所示.主要用到了 simpowersystems匸具箱和Simulink工具箱.圖中的逆變主電路用Universal Bridge那么更加簡(jiǎn)單.圖中的變圧器起到隔離作用,使得spwm波和負(fù)載波形同時(shí) 能測(cè)取.主電路原理圖如下:圖211 主電路原理圖2限制電路設(shè)計(jì)限制電路原理如下:據(jù)自然釆樣法,三個(gè)互差120.的正弦波與高頻三角載 波進(jìn)行比擬,每路結(jié)果再經(jīng)反相器產(chǎn)生與原信號(hào)相反的限制波,分別限制上下橋 臂IGBT的導(dǎo)通與關(guān)斷.這樣產(chǎn)生的六路spwm波分別限制六個(gè)IGBT的通斷,從 而在負(fù)載端產(chǎn)生與調(diào)

19、制波同頻的三相交流電.圖中的三角載波用S函數(shù)產(chǎn)生.產(chǎn)生頻率為30HZ時(shí)的實(shí)驗(yàn)將限制電路中的三相正弦波函數(shù)發(fā)生器Sine Wave的頻率調(diào)為30HZ,即在頻率 參數(shù)欄中輸入60:pi,那么系統(tǒng)輸出頻率也應(yīng)為30HZ.仿真運(yùn)行系統(tǒng),顯示如圖2-13所示.圖2-13頻率為30HZ仿貞模型從圖2-13可以看出,系統(tǒng)輸出正弦波周期為0. 033s左右,即頻率約為30H乙前 三路波形分別為.Uz 第四路為濾波前的g,第五路為濾波后的Uv,o 產(chǎn)生頻率為50HZ時(shí)的實(shí)驗(yàn)從圖2-14可以看出,系統(tǒng)輸出正弦波周期為0.02s,即頻率為50HZ.產(chǎn)生頻率為100HZ時(shí)的實(shí)驗(yàn)從圖2-15可以看出,系統(tǒng)輸出正弦波周期

20、為0.01s,即頻率為lOOHZo4濾波裝置圖216 LC濾波裝置L為工頻電感,電感量可選為12mH.為減小噪聲,選閉合鐵芯,如0D型硅鋼鐵芯(400Hz)或鐵粉芯鐵芯C為工頻電容,可以選CBB61-10MF-250VAC.帶濾波頻率為三正弦波源電壓幅值0. 8V時(shí)逆變波形圖圖2-17帶濾波的電流J電壓朋帶濾波,為50/thz,三正弦波源電壓幅值0.8V時(shí)逆變波形圖11-X /'jr!/ : / / / f.J.Kf/圖2-18帶濾波的電流,電壓 %、% 圖加LC濾波裝置后發(fā)現(xiàn)輸岀電流匚電壓"沖加為標(biāo)準(zhǔn)正弦波通過調(diào)節(jié)信調(diào)節(jié)信號(hào)波波的fo,化影響SPWM的頻率和脈寬改變IGBT

21、導(dǎo)通時(shí)間,從而可 改變輸出電壓電流頻率幅值.六、心得體會(huì)這次課程設(shè)計(jì)歷時(shí)三個(gè)星期多左右,通過這三個(gè)星期的學(xué)習(xí),發(fā)現(xiàn)了自己的 很多缺乏,自己知識(shí)的很多漏洞,看到了自己的實(shí)踐經(jīng)驗(yàn)還是比擬缺乏,理論聯(lián) 系實(shí)際的水平還急需提升.課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提岀, 分析和解決實(shí)際問題鍛煉實(shí)踐水平的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作水平的具體訓(xùn) 練和考察過程.回憶此次課程設(shè)計(jì),至今我們?nèi)愿锌H多,確實(shí),從選題到定稿,從理論 到實(shí)踐,在整整三星期的日子里,可以說得是苦多于甜,但是可以學(xué)到很多很多 的的東西,同時(shí)不僅可以穩(wěn)固了以前所學(xué)過的知識(shí),而且學(xué)到了很多在書本上所 沒有學(xué)到過的知識(shí).在設(shè)計(jì)的過程中遇

22、到問題,可以說得是困難重重,這畢竟笫 一次做的,難免會(huì)遇到過各種各樣的問題,比方有時(shí)候被一些小的,細(xì)的問題擋 住前進(jìn)的步伐,讓我們總是為了解決一個(gè)小問題而花費(fèi)很長(zhǎng)的時(shí)間.最后還要查 閱其他的書籍才能找出解決的方法.自己看起來多完美的設(shè)計(jì)在實(shí)踐下就漏洞白 出了.并且我在做設(shè)計(jì)的過程中發(fā)現(xiàn)有很多東西,也知道自己的很多缺乏之處, 知道自己對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固.以前認(rèn)為學(xué)了 沒用的課程現(xiàn)在也用到了.所謂“態(tài)度決定一切S于是偶然乂必然地收獲了諸多,概而言之,大約以 下兒點(diǎn):奩故而知新.課程設(shè)計(jì)開始的時(shí)候思緒全無,舉步維艱,對(duì)于理論知識(shí)學(xué)習(xí) 不夠扎實(shí)的我們深感“書到用時(shí)方恨少S

23、于是想起圣人之言“溫故而知新S便 重拾教材與實(shí)驗(yàn)手冊(cè),對(duì)知識(shí)系統(tǒng)而全面進(jìn)行了梳理,遇到難處先是苦思冥想再 向同學(xué)請(qǐng)教,終于熟練掌握了根本理論知識(shí),而且領(lǐng)悟諸多平時(shí)學(xué)習(xí)難以理解掌 握的較難知識(shí),學(xué)會(huì)了如何思考的思維方式,找到了設(shè)計(jì)的靈感.思路即出路.當(dāng)初沒有思路,誠(chéng)如舉步維艱,茫茫大地,不見道路.在對(duì)理 論知識(shí)梳理掌握之后,茅塞頓開實(shí)踐岀真知.到今天,課程設(shè)計(jì)根本告成,才切身領(lǐng)悟“實(shí)踐是檢驗(yàn)真理的 唯一標(biāo)準(zhǔn)學(xué)海無涯,學(xué)無止境.盡管課程設(shè)計(jì)是在本學(xué)期開始,我們的教材學(xué) 習(xí)完畢,掌握許多知識(shí),但是還有很多地方理解領(lǐng)悟不到位,山于SPWM限制信 號(hào)的產(chǎn)生比擬復(fù)雜,我們查閱很多資料,嘗試過用不同方法設(shè)計(jì)

24、產(chǎn)生,比方分立 元件,集成芯片,最終選擇了用SG3524集成芯片,電路接線雖很復(fù)雜,但其能 實(shí)現(xiàn)的功能很強(qiáng)大,以后還需進(jìn)一步學(xué)習(xí)運(yùn)用.最后我們還嘗試用PROTEL DXP 設(shè)計(jì)畫出局部的實(shí)際電路板圖,要考慮更多的問題提出了更高要求.這次課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多問題,最后在努力下 終于迎刃而解.同時(shí)發(fā)現(xiàn)了還有很多工具及理論以后亟待學(xué)習(xí).它培養(yǎng)了我們嚴(yán) 嚴(yán)謹(jǐn)科學(xué)的思維,通過它架起理論與實(shí)踐橋梁.附錄 Matab簡(jiǎn)介MATLAB是美國(guó)MathWorks公司出品的商業(yè)數(shù)學(xué)軟件,用于算法開 發(fā)、數(shù)據(jù)可視化、數(shù)據(jù)分析以及數(shù)值計(jì)算的高級(jí)技術(shù)計(jì)算語言和交互式環(huán) 境,主要包括MATLAB和Simulink兩大局部.MATLAB 是矩陣實(shí)驗(yàn)室Matrix Laboratory的簡(jiǎn)稱,和 Mathemati ca>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論