版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、1、引言1.1 EDA技術(shù)的概念隨著ED徽術(shù)發(fā)展和應(yīng)用領(lǐng)域的擴大與深入,ED能術(shù)在電子信息、通訊、自動控制及 計算機應(yīng)用等領(lǐng)域的重要性突出,隨著技術(shù)市場與人才市場對EDA勺需求不斷提高,產(chǎn)品的市場需求和市場的要求也必然會反映到教學(xué)領(lǐng)域和科研領(lǐng)域中來,因此學(xué)好ED微術(shù)對我們有很大的一處,EDA1指以計算機為工具,在EDAC件平臺上,根據(jù)設(shè)計描述的源文件, 自動完成系統(tǒng)的設(shè)計,包括編譯、仿真、優(yōu)化、綜合、適配以及下載。EDA1電子設(shè)計自動化(Electronic Design Automation )的縮寫,在20世紀(jì)90年代初從計算機輔助設(shè)計 (CAD、計算機輔助制造(CAM、計算機輔助測試(C
2、AT和計算機輔助工程(CAE的 概念發(fā)展而來的。1.2 EDA技術(shù)的特點利用ED儆術(shù)進行電子系統(tǒng)的設(shè)計,具有以下幾個特點:用軟件的方式設(shè)計硬件;用軟件方式設(shè)計的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動完成的; 設(shè)計過 程中可用有關(guān)軟件進行各種仿真; 系統(tǒng)可現(xiàn)場編程,在線升級; 整個系統(tǒng)可集成在 一個芯片上,體積小、功耗低、可靠性高。因此,EDAK術(shù)是現(xiàn)代電子設(shè)計的發(fā)展趨勢。1.3 EDA設(shè)計流程典型的EDAS計流程如下:(1)、文本/原理圖編輯與修改。首先利用 EDAX具的文本或圖形編輯器將設(shè)計者的 設(shè)計意圖用文本或圖形方式表達出來。(2)、編譯。完成設(shè)計描述后即可通過編譯器進行排錯編譯,變
3、成特定的文本格式, 為下一步的綜合做準(zhǔn)備。(3)、綜合。將軟件設(shè)計與硬件的可實現(xiàn)性掛鉤,是將軟件轉(zhuǎn)化為硬件電路的關(guān)鍵 步驟。(4)、行為仿真和功能仿真。利用產(chǎn)生的網(wǎng)表文件進行功能仿真,以便了解設(shè)計描 述與設(shè)計意圖的一致性。(5)、適配。利用FPGA/CPL布局布線適配器將綜合后的網(wǎng)表文件針對某一具體的目 標(biāo)器件進行邏輯映射操作,其中包括底層器件配置、邏輯分割、邏輯優(yōu)化、布局 布線。適配報告指明了芯片內(nèi)資源的分配與利用、引腳鎖定、設(shè)計的布爾方程描述情況。(6)、功能仿真和時序仿真。(7)、下載。如果以上的所有過程都沒有發(fā)現(xiàn)問題,就可以將適配器產(chǎn)生的下載文 件通過FPGA/CPLA載電纜載入目標(biāo)芯
4、片中。(8)、硬件仿真與測試。1.4 硬件描述語言(VHDLVHDL(Very-High-Speed Integrated Circuit Hardware Description Language) 主要 用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL 的語言形式和描述風(fēng)格與句法是十分類似于一般的計算機高級語言。2、電梯控制器的設(shè)計要求與設(shè)計思路2.1 設(shè)計目的通過設(shè)計應(yīng)完成工作內(nèi)容和具體的設(shè)計方法,通過設(shè)計也有出于復(fù)習(xí),鞏固以往的學(xué) 習(xí)內(nèi)容,達到靈活應(yīng)用的目的。在設(shè)計完成后,還要將設(shè)計的電路進行安裝,調(diào)試及加強 我們的動手能力。在此過程中培養(yǎng)從事設(shè)計工
5、作的整體觀念。本次設(shè)計的目的就是通過實 踐深入理解EDAJ術(shù)并掌握VHD硬件描述語言的設(shè)計方法和思想。通過學(xué)習(xí)的 VHD語言結(jié) 合電子電路的設(shè)計知識理論聯(lián)系實際,掌握所學(xué)的課程知識和基本單元電路的綜合設(shè)計應(yīng) 用。通過對實用電梯控制系統(tǒng)的設(shè)計,鞏固和綜合運用所學(xué)知識,提高設(shè)計能力,提高分 析、解決計算機技術(shù)實際問題的獨立工作能力。2.2 設(shè)計要求電梯控制器是控制電梯按顧客要求自動上下的裝置。采用VHD晤言來設(shè)計實用四層電梯控制器,而且遵循方向優(yōu)先的原則,提前關(guān)電梯門和延遲關(guān)電梯門,對電梯的運行(上升和 下降)、停止、等待狀態(tài)進行控制。同時,能顯示電梯的運行情況、電梯所在樓層。3、電梯控制器的綜合
6、設(shè)計3.1 電梯控制器功能(1)、每層電梯的入口處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有乘客到達層次的停站請求開關(guān)。(2)、設(shè)有電梯所處位置指示裝置及電梯運行模式(上升或下降)指示裝置。(3)、電梯每秒升降一層。(4)、電梯到達有停站請求的樓層后,經(jīng)過 1s電梯打開,開門只是燈亮,開門4s后電梯門關(guān)閉(關(guān)門指示燈滅),電梯繼續(xù)運行,直至執(zhí)行完請求信號后停在當(dāng)前樓層。 (5)、能記憶電梯內(nèi)外的所以請求信號,并按照電梯運行規(guī)則依次響應(yīng),每個請求信號保留至執(zhí)行后消除。(6)、電梯運行規(guī)則:當(dāng)電梯處于上升模式時,只響應(yīng)比電梯所在位置高的上樓信號, 由下至上依次執(zhí)行,直到最后一個上樓請求執(zhí)行完畢,如更高層有下樓請
7、求時, 則直接升到有下降請求的最高樓接客,然后進入下降模式,但電梯處于下降模式 時,則與上升模式相反。(7)、電梯初始狀態(tài)為一層門開。3.2 電梯控制器設(shè)計方案如圖所示,包括主控制器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯 示器。乘客在電梯中選擇所要到達的樓層,通過主控制器的處理,電梯開始運行,狀態(tài) 顯示器顯示電梯的運行狀態(tài),電梯所在樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中顯 示。分控制器把有效的請求傳給主控制器進行處理,同時顯示電梯的運行狀態(tài)和電梯所 在樓層數(shù)。由于分控制器相對簡單很多,所以主控制器是核心部分。電梯控制器采用狀態(tài)機來實現(xiàn)??梢詫㈦娞莸却拿棵腌娨约伴_門、關(guān)門都看成一個
8、獨立的狀態(tài)。由于電梯又是每秒上升或下降一層,所以就可以通過一個統(tǒng)一的1秒為周期的時鐘來觸發(fā)狀態(tài)機。根據(jù)電梯的實際工作情況,可以把狀態(tài)機設(shè)置10個狀態(tài),分別是“電梯停留在第1層”、“開門”、“關(guān)門”、“開門等待第1秒”、“開門等待第2秒”、“開門 等待第3秒”、“開門等待第4秒”、“上升”、“下降”和“停止?fàn)顟B(tài)”。各個狀態(tài)之間的轉(zhuǎn) 換條件可由上面的設(shè)計要求所決定3.3 電梯控制器實體設(shè)計首先考慮輸入端口,一個異步復(fù)位端口 reset ,用于在系統(tǒng)不正常時回到初始狀態(tài);在電梯外部,必須有升降請求端口, 一層是最低層,不需要有下降請求,四層是最高層, 不需要有上升請求,二層則上升、下降請求端口都有;
9、在電梯的內(nèi)部,應(yīng)該設(shè)有各層停 留的請求端口: 一個電梯時鐘輸入端口,該輸入時鐘以 1秒為周期,用于驅(qū)動電梯的開 降及開門關(guān)門等動作;另有一個按鍵時鐘輸入端口,時鐘頻率比電梯時鐘高。其次是輸出端口,有升降請求信號以后,就得有一個輸出端口來指示請求是否被響 應(yīng),有請求信號以后,該輸出端口輸出邏輯1'。被響應(yīng)以后則恢復(fù)邏輯0;同樣, 在電梯內(nèi)部也應(yīng)該有這樣的輸出端口來顯示各層停留是否被響應(yīng);在電梯外部,需要一 個端口來指示電梯現(xiàn)在所處的位置;電梯開門關(guān)門的狀態(tài)也能用一個輸出端口來指示; 為了觀察電梯的運行是否正確,可以設(shè)置一個輸出端口來指示電梯的升降狀態(tài)。3.4 各模塊設(shè)計說明3.4.1 調(diào)
10、用VHD庫通過library 語句,本程序應(yīng)用了 VHDLJ$中的“通用ieee庫”和“標(biāo)準(zhǔn)std庫” library ieee;use ieee.std_1ogic_1164.a11;use ieee.std_logic_unsigned.all;3.4.2 entity實體設(shè)計模塊entity dianti isport ()end dianti;3.4.3 由功能要求得到本程序設(shè)計的端口必須包括:輸入端口 :時鐘(clk ,頻率為2Hz)、超載(full )、關(guān)門中斷(deng)、提前關(guān)門(quick )、 清除報警(clr )、電梯外人的上升請求信號(c_u1,c_u2,c_u3 )、
11、電梯外人的下降請求信 號(c_d2,c_d3,c_d4 )、電梯內(nèi)人的請求信號(d1,d2,d3,d4 )、到達樓層信號(g1,g2,g3,g4 )。輸出端口:電梯門控制信號(door)、電梯所在樓層顯示(led)電梯外人上升請求信 號顯示(led_c_u )、電梯外人下降請求信號顯示(led_c_d )、電梯內(nèi)請求信號顯示(led_d )、 看門狗報警信號(wahah0、電梯運動方向顯示(ud)、超載警告信號(alarm)、電機控制 信號(up,down)。3.4.4 程序要求的寄存器(中間信號)包括:電梯內(nèi)人請求信號寄存信號(d11,d22,d33,d44,d55,d66 )、電梯外人上升
12、請求信號寄 存信號(c_u11,c_u22,c_u33,c_u44,c_u55 )、電梯外人下 降請求信號寄存信號 (c_d22,c_d33,c_d44,c_d55,c_d66 )、分頻信號(q)、關(guān)門延時計數(shù)器(q1)、看門狗計 數(shù)器(q2)、電梯內(nèi)外請求信號寄存器 (dd,cc_u,cc_d,dd_cc )、開門使能信號(opendoor)、 電梯運動方向信號寄存器(updow。、預(yù)備上升、預(yù)備下降預(yù)操作使能信號(en_up,en_dw)。 3、5電梯控制器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsig
13、ned.all;use ieee.std_logic_arith.all;entity dianti isport(clk:in std_logic;full,deng,quick,clr:in std_logic;c_u1,c_u2,c_u3:in std_logic;c_d2,c_d3,c_d4:in std_logic;d1,d2,d3,d4:in std_logic;g1,g2,g3,g4:in std_logic;door:out std_logic_vector(1 downto 0);led:out std_logic_vector(6 downto 0);led_c_u:out
14、 std_logic_vector(3 downto 0);led_c_d:out std_logic_vector(3 downto 0);led_d:out std_logic_vector(3 downto 0);wahaha:out std_logic;ud,alarm:out std_logic;up,down:out std_logic);end dianti;architecture behave of dianti issignal d11,d22,d33,d44:std_logic;signal c_u11,c_u22,c_u33:std_logic;signal c_d22
15、,c_d33,c_d44:std_logic;signal q:integer range 0 to 1;signal q1:integer range 0 to 6;signal q2:integer range 0 to 9;signal dd,cc_u,cc_d,dd_cc:std_logic_vector(3 downto 0);signal opendoor:std_logic;signal updown:std_logic;signal en_up,en_dw:std_logic;begincom:process(clk)beginif(clk'event and clk=
16、'1')thenif (clr='1')then q1<=0;q2<=0;wahaha<='0'elsif full='1'then alarm<='1'q<=0;if (q1>=3)then door<="10"else door<="00"end if;elsif q=1 then q<=0;alarm<='0'if q2=3 then wahaha<='1'elseif(o
17、pendoor='1')then door<="10"q1<=0;q2<=0;up<='0'down<='0'elsif en_up='1'thenif(deng='1')then door<="10"q1<=0;q2<=q2+1;elsif quick='1' then q1<=3;elsif q1=6 then door<="00"updown<='1'u
18、p<='1'elsif q1>=3 then door<="01"q1<=q1+1;else q1<=q1+1;door<="00"end if;elsif en_dw='1'thenif(deng='1')then door<="10"q1<=0;q2<=q2+1;elsif quick='1' then q1<=3;elsif q1=6 then door<="00"updown&l
19、t;='0'down<='1'elsif q1>=3 then door<="01"q1<=q1+1;else q1<=q1+1;door<="00"end if;end if;if(g1='1')then led<="1001111"if d11='1'or c_u11='1'then d11<='0'c_u11<='0'opendoor<='1'
20、elsif dd_cc>"0001"then en_up<='1'opendoor<='0'elsif dd_cc="0000"then opendoor<='0'end if;elsif g2='1' then led<="0010010"if updown='1'thenif d22='1'or c_u22='1'then d22<='0'c_u22<='
21、0'opendoor<='1'elsif dd_cc>"0011"then en_up<='1'opendoor<='0'elsif dd_cc<"0010"then en_dw<='1'opendoor<='0'end if;elsif d22='1'or c_d22 ='1'then d22<='0'c_d22<='0'opendoor<=&
22、#39;1'elsif dd_cc>"0011"then en_up<='1'opendoor<='0'elsif dd_cc<"0010"then en_dw<='1'opendoor<='0'end if;elsif g3='1' then led<="0000110”;if updown='1'thenif d33='1'or c_u33 ='1'then d33
23、<='0'c_u33<='0'opendoor<='1'elsif dd_cc>"0111"then en_up<='1'opendoor<='0'elsif dd_cc<"0100"then en_dw<='1'opendoor<='0'end if;elsif d33='1'or c_d33='1'then d33<='0'c_d33
24、<='0'opendoor<='1'elsif dd_cc>"0111"then en_up<='1'opendoor<='0'elsif dd_cc<"0100"then en_dw<='1'opendoor<='0'end if;elsif g4='1' then led<="1001100"if d44='1'or c_d44='1'
25、then d44<='0'c_d44<='0'opendoor<='1'elsif dd_cc<"1000"then en_dw<='1'opendoor<='0'end if;else en_up<='0'en_dw<='0'end if;end if;else q<=1;alarm<='0'if (d1='1')then d11<=d1;elsif d2='
26、;1'then d22<=d2;elsif d3='1'then d33<=d3;elsif d4='1'then d44<=d4;end if;if c_u1='1'then c_u11<=c_u1;elsif c_u2='1'then c_u22<=c_u2;elsif c_u3='1'then c_u33<=c_u3;end if;if c_d2='1'then c_d22<=c_d2;elsif c_d3='1'then c_
27、d33<=c_d3;elsif c_d4='1'then c_d44<=c_d4;end if;dd<=d44&d33&d22&d11;cc_u<='0'&c_u33&c_u22&c_u11;cc_d<=c_d44&c_d33&c_d22&'0'dd_cc<=dd or cc_u or cc_d;end if;ud<=updown;led_d<=dd;led_c_u<=cc_u;led_c_d<=cc_d;end if;end process;end behave;3、6電梯控制器仿真
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五版物流企業(yè)環(huán)保應(yīng)急處理合作協(xié)議3篇
- 二零二五年度個人消費信貸擔(dān)保合同規(guī)范文本
- 書法行業(yè)墨跡技法培訓(xùn)總結(jié)
- 二零二五年度個人投資借款合同范例(高風(fēng)險投資管理)2篇
- 2025版退換貨協(xié)議書(家電行業(yè))3篇
- 二零二五年度貨運司機租賃及安全協(xié)議3篇
- 二零二五年度贍養(yǎng)老人協(xié)議書(含子女共同贍養(yǎng)責(zé)任分擔(dān))6篇
- 2025版金融科技創(chuàng)新項目信托借款合同范本2篇
- 二零二五版施工合同尾款支付擔(dān)保協(xié)議范本3篇
- 二零二五年度地基處理土方開挖及運輸綜合服務(wù)合同3篇
- CSC資助出國博士聯(lián)合培養(yǎng)研修計劃英文-research-plan
- 《環(huán)境管理學(xué)》教案
- 《阻燃材料與技術(shù)》課件 第5講 阻燃塑料材料
- 2025年蛇年年度營銷日歷營銷建議【2025營銷日歷】
- (一模)寧波市2024學(xué)年第一學(xué)期高考模擬考試 數(shù)學(xué)試卷(含答案)
- 金蛇納瑞企業(yè)2025年會慶典
- 安保服務(wù)評分標(biāo)準(zhǔn)
- T-SDLPA 0001-2024 研究型病房建設(shè)和配置標(biāo)準(zhǔn)
- 全過程工程咨詢管理服務(wù)方案投標(biāo)方案(技術(shù)方案)
- 光儲電站儲能系統(tǒng)調(diào)試方案
- 父母贈與子女農(nóng)村土地協(xié)議書范本
評論
0/150
提交評論