單片機(jī)課程設(shè)計(jì)講述_第1頁
單片機(jī)課程設(shè)計(jì)講述_第2頁
單片機(jī)課程設(shè)計(jì)講述_第3頁
單片機(jī)課程設(shè)計(jì)講述_第4頁
單片機(jī)課程設(shè)計(jì)講述_第5頁
已閱讀5頁,還剩21頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、2017屆課程設(shè)計(jì)3基于單片機(jī)步進(jìn)電機(jī)溫度控制系統(tǒng)塔里木大學(xué)教務(wù)處制目錄摘要:一、設(shè)計(jì)要求3二、系統(tǒng)組成方框圖3三、電路設(shè)計(jì)說明33.1 單片機(jī) AT89c5133.2 步進(jìn)電機(jī)53.3 DS18B20溫度傳感器53.4 LCD1602液晶顯示屏63.5 工作原理7四、總結(jié)74.1 參考文獻(xiàn)74.2 仿真圖74.3 彳弋碼9摘要:本課題是一種基于單片機(jī)步進(jìn)電機(jī)控制系統(tǒng)的軟硬件設(shè)計(jì)。本設(shè)計(jì)能實(shí) 現(xiàn)出步進(jìn)電機(jī)的正轉(zhuǎn),反轉(zhuǎn)。通過數(shù)字溫度傳感器DS18B20對(duì)溫度進(jìn)行監(jiān)控實(shí)現(xiàn) 超溫報(bào)警提示,溫度過高電機(jī)將會(huì)正轉(zhuǎn),溫度過低將會(huì)反轉(zhuǎn)。該系統(tǒng)具有控制方 便、結(jié)構(gòu)簡單、界面友好、和系統(tǒng)運(yùn)行穩(wěn)定等優(yōu)點(diǎn),具有一定

2、的應(yīng)用價(jià)值。一、設(shè)計(jì)要求1、設(shè)計(jì)一個(gè)基于單片機(jī)步進(jìn)電機(jī)溫度控制系統(tǒng):2、在LCD屏上顯示溫度控制的上下限和當(dāng)前室溫:3、可以調(diào)節(jié)溫度的上下限;4、室溫超過設(shè)定的上限時(shí),步進(jìn)電機(jī)將會(huì)正轉(zhuǎn),室溫低于設(shè)定的下限時(shí),步進(jìn)電機(jī)將會(huì)反 轉(zhuǎn):二、系統(tǒng)組成方框圖如圖:三、電路設(shè)計(jì)說明3.1 單片機(jī) AT89c51AT89C51是美國ATMEL公司生產(chǎn)的低電壓,高性能CM0S8位單片機(jī),片內(nèi)含4k bytes 的可反復(fù)擦寫的只讀程序存儲(chǔ)器(PEROM)和128 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),器件 采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通 用8位中央處理

3、器(CPU)和Flash存儲(chǔ)單元,功能強(qiáng)大AT89c51單片機(jī)能提供許多高性價(jià)比的 應(yīng)用場(chǎng)合,可靈活應(yīng)用于各種控制領(lǐng)域。UI重,土:=XTAL1XTAL2RSTPSEN ALE EA0123456711111111PPPPPPPPPO.a/ADO PD.1 /AD1 PD.2/AD2 PO.3/AD3 PO.4/AD4 PO.5/AD5 PO.6/AD6PO.7/AD7P2.0/A8 P2.1/A9P2.2/A1 0 P2.3/A1 1 P2.4XA1 2 P2.S/A1 3 P2.6/A1 4 P2.7/A1 SP3.0小 XD P3.1XTXDP3.2疝T支TP3.3麗序TP3.4/TO

4、P3 .S/T1P3.5/WRP3.7/RUAT89C51AT89C513.3 DS18B20溫度傳感器DS18B20單線數(shù)字溫度傳感器,即“一線器件”,其具有獨(dú)特的優(yōu)點(diǎn):a.采用單總線的接口方式與微處理器連接時(shí)僅需要一條口線即可實(shí)現(xiàn)微處理器與 DS18B20的雙向通訊。單總線具有經(jīng)濟(jì)性好,抗干擾能力強(qiáng),適合于惡劣環(huán)境的現(xiàn)場(chǎng)溫度 測(cè)量,使用方便等優(yōu)點(diǎn),使用戶可輕松地組建傳感器網(wǎng)絡(luò),為測(cè)量系統(tǒng)的構(gòu)建引入全新概念。b.測(cè)量溫度范圍寬,測(cè)量精度高DS18B20的測(cè)量范圍為-55 +125 ;在-10+ 85 C范圍內(nèi),精度為 0.5 C。c.持多點(diǎn)組網(wǎng)功能多個(gè)DS18B20可以并聯(lián)在惟一的單線上,實(shí)

5、現(xiàn)多點(diǎn)測(cè)溫。d.供電方式靈活DS18B20可以通過內(nèi)部寄生電路從數(shù)據(jù)線上獲取電源。因此,當(dāng)數(shù)據(jù)線 上的時(shí)序滿足一定的要求時(shí),可以不接外部電源,從而使系統(tǒng)結(jié)構(gòu)更趨簡單,可靠性更高。f.測(cè)量結(jié)果以912位數(shù)字量方式串行傳送單線總線特點(diǎn):單總線即只有一根數(shù)據(jù)線,系統(tǒng)中的數(shù)據(jù)交換,控制都由這根線完成。單總線通常要求外接一個(gè)約為4.7K-10K的上拉電阻,這樣,當(dāng)總線閑置時(shí)其狀態(tài)為 高電平。DS18B20單總線的工作方式:DS18B20的初始化:主機(jī)首先發(fā)出一個(gè)480960微秒的低電平脈沖,然后釋放總線變?yōu)楦唠娖?,并在隨后 的480微秒時(shí)間內(nèi)對(duì)總線進(jìn)行檢測(cè),如果有低電平出現(xiàn)說明總線上有器件已做出應(yīng)答。若

6、無 低電平出現(xiàn)一直都是高電平說明總線上無器件應(yīng)答。做為從器件的DS18B20在一上電后就一直在檢測(cè)總線上是否有480960微秒的低電平 出現(xiàn),如果有,在總線轉(zhuǎn)為高電平后等待15 60微秒后將總線電平拉低60240微秒做出 響應(yīng)存在脈沖,告訴主機(jī)本器件已做好準(zhǔn)備。若沒有檢測(cè)到就一直在檢測(cè)等待。初始近3卷“復(fù)也和存在傲沖里線息線線型含義:息線校窄嚷狼電平r-息線度制囂和DS1820同 為低電平DS182哪電平 電阻上拉主機(jī)發(fā)出各種操作命令都是向DS18B20寫。和寫1組成的命令字節(jié),接收數(shù)據(jù)時(shí)也是 從DS18B20讀取。或1的過程。因此首先要搞清主機(jī)是如何進(jìn)行寫0、寫1、讀0和讀1 的。寫周期最少

7、為60微秒,最長不超過120微秒。寫周期一開始做為主機(jī)先把總線拉低1 微秒表示寫周期開始。隨后若主機(jī)想寫0,則將總線置為低電平,若主機(jī)想寫1,則將總線 置為高電平,持續(xù)時(shí)間最少60微秒直至寫周期結(jié)束,然后釋放總線為高電平至少1微秒給 總線恢復(fù)。而DS18B20則在檢測(cè)到總線被拉底后等待15微秒然后從15ns到45us開始對(duì) 總線采樣,在采樣期內(nèi)總線為高電平則為1,若采樣期內(nèi)總線為低電平則為最對(duì)于讀數(shù)據(jù)操作時(shí)序也分為讀0時(shí)序和讀1時(shí)序兩個(gè)過程。讀周期是從主機(jī)把單總線拉低1微秒之后就得釋放單總線為高電平,以讓DS18B20把 數(shù)據(jù)傳輸?shù)絾慰偩€上。作為從機(jī)DS18B20在檢測(cè)到總線被拉低1微秒后,便

8、開始送出數(shù)據(jù), 若是要送出。就把總線拉為低電平直到讀周期結(jié)束。若要送出1則釋放總線為高電平。主機(jī) 在一開始拉低總線1微秒后釋放總線,然后在包括前面的拉低總線電平1微秒在內(nèi)的15微 秒時(shí)間內(nèi)完成對(duì)總線進(jìn)行采樣檢測(cè),采樣期內(nèi)總線為低電平則確認(rèn)為0。采樣期內(nèi)總線為高 電平則確認(rèn)為1。完成一個(gè)讀時(shí)序過程,至少需要60微秒才能完成3.4 LCD1602液晶顯示屏1602采用標(biāo)準(zhǔn)的16腳接口,其中:第1腳:GND為電源地第2腳:VCC接5V電源正極第3腳:V0為液晶顯示器對(duì)比度調(diào)整端,接正電源時(shí)對(duì)比度最弱,接地電源時(shí)對(duì)比度最高 (對(duì)比度過高時(shí)會(huì)產(chǎn)生“鬼影”,使用時(shí)可以通過一個(gè)10K的電位器調(diào)整對(duì)比度)。第

9、4腳:RS為寄存器選擇,高電平1時(shí)選擇數(shù)據(jù)寄存器、低電平0時(shí)選擇指令寄存器。第5腳:RW為讀寫信號(hào)線,高電平(1)時(shí)進(jìn)行讀操作,低電平(0)時(shí)進(jìn)行寫操作。第6腳:E(或EN)端為使能(enable)端,高電平(1)時(shí)讀取信息,負(fù)跳變時(shí)執(zhí)行指令。第714腳:D0D7為8位雙向數(shù)據(jù)端。第1516腳:空腳或背燈電源。15腳背光正極,16腳背光負(fù)極。編號(hào)符號(hào)引腳說明編號(hào)符號(hào)引腳說明1VSS電源地9D2Data I/O2VDD電源正極10D3Data I/O3VL液晶顯示偏壓信號(hào)11D4Data I/O4RS數(shù)據(jù)/命令選擇端(H/L)12D5Data I/O5R/W讀/寫選擇端(H/L)13D6Data

10、 I/O6E使能信號(hào)14D7Data I/O7DOData I/O15BLA背光源正極8D1Data I/O16BLK背光源負(fù)極特性:3.3V或5V工作電壓,對(duì)比度可調(diào),內(nèi)含復(fù)位電路,提供各種控制命令,如:清屏、字符閃 爍、光標(biāo)閃爍、顯示移位等多種功能,有80字行顯示數(shù)據(jù)存儲(chǔ)器DDRAM,內(nèi)建有192, 5X7點(diǎn)陣的字型的字符發(fā)生器CGROM, 8個(gè)可由用戶自定義的5X7的字符發(fā)生器CGRAM二進(jìn)制小數(shù)位四位十進(jìn)制小數(shù)對(duì)應(yīng)顯示碼(共陰極)00000.0000產(chǎn)03FH00010.0625106H00100.1250*106H00110.1875F25BH01000.2500L34FH01010

11、.3125產(chǎn)34FH01100. 3750466HL 01H0.4370/466H10000.5000F56DH10010.5625A67DH1010r0.6250k67DH10110.6275707H11000.7500*87FH11010.812587FH11100.8750*96FH11110.937596FH通信協(xié)議:每次通信寫數(shù)據(jù)時(shí)RS=Llcden=0;使能端高電平無效P0=date;給端口送數(shù)據(jù)delay(5);延時(shí) 5MSlcden=l;使能端高電平有效delay(5);lcden=0;3.5 24C02暫存器CAT24WC01/02/04/08/16 是一個(gè) 1K/2K/4K

12、8K16K 位串行 CMOS E2PR0M 內(nèi)部含有 128/256/512/1024/2048個(gè)8位字節(jié)CATALYST公司的先進(jìn)CMOS技術(shù)實(shí)質(zhì)上減少了器件 的功耗CAT24WC01有一個(gè)8字節(jié)頁寫緩沖器CAT24WC02/04/08/16有一個(gè)16字節(jié)頁寫緩沖器 該器件通過I2C總線接口進(jìn)行操作有一個(gè)專門的寫保護(hù)功能3.6 工作原理通過DS18B20溫度傳感器采集室溫,傳輸給單片機(jī):設(shè)定溫度的上下限,用的是 P3.0.P3.1,P3.2 口來調(diào)節(jié);P0.0-P0.7 口來輸出給LCD1062液晶顯示器:P1.0-P13四個(gè)口來 控制步進(jìn)電機(jī)的轉(zhuǎn)動(dòng):P2.7 口是控制LCD的E端使能端,高

13、電平(1)時(shí)讀取信息,負(fù)跳變 時(shí)執(zhí)行指令;P2.6控制LCD的RS為寄存器選擇,高電平1時(shí)選擇數(shù)據(jù)寄存器、低電平0 時(shí)選擇指令寄存器;P2.5 口控制LCD的RW為讀寫信號(hào)線,高電平(D時(shí)進(jìn)行讀操作, 低電平(0)時(shí)進(jìn)行寫操作。當(dāng)室溫高于設(shè)定的溫度時(shí),步進(jìn)電機(jī)會(huì)正轉(zhuǎn),當(dāng)室溫低于設(shè)定溫度時(shí),步進(jìn)電機(jī)反轉(zhuǎn)。四、總結(jié)4.1 參考文獻(xiàn)1王靜霞.單片機(jī)應(yīng)用技術(shù).電子工業(yè)出版社.2009。2樓然苗.單片機(jī)課程設(shè)計(jì)指導(dǎo).北京航空航天大學(xué)出版社. . 20073周潤景.Proteus在MCS-51&ARM7系統(tǒng)中的應(yīng)用白.例.電子工業(yè)出版社.20064.2 仿真圖LCD1LM016LHELLO H:I5 +

14、19.Ei L:I5 MQv-zmbgpzHHUJQQQQaaOQO/AD0 1/AD1 2,AD2 &AD8 47AD4 5/AD5 &AD8 7/AD72.0/A82.1/A9 .2/A10 .3/A11.4/A1237小 RP112223-242526=lESRACK-8Xld 1XIAl-5:?三!:arssa2n t1-2WliAS pa-jziAac七4,人口Pff.POX3 r5xa rjxfhTc ianKTi -*nc 必十IT 1javSm X,名rU3三 -AdWES5MaAOOT4CKD4.3代碼主函數(shù)#mclude#includeHi2c.h#defiiie umt

15、unsigned mt#defiiie uchar unsigned char第4個(gè)引腳是RS, 5是RW, 6是ensbit lcdrs=P2人6;數(shù)據(jù)命令端口sbit lcdnv=P2八5讀寫端口.我們?cè)谶@里只寫/sbit lcden=P2人7;使能端 口 sbit DQ=P3A7y/dsl8b20 端 口sbit K如P37;溫度的上線加sbit K2=P3人2溫度的下線減sbit K3=P3八0;上下線切換sbit K4=P3人3;溫度的上線加sbit K5=P3%;溫度的下線減sbit K6=P3A5;sbitAl=PlAO; 定義步進(jìn)電機(jī)連接端口sbitBl=PlAl;sbitCl

16、=PlA2;sbitDl=PlA3;sbit LSA=P2A2;sbit LSB=P2A3;sbit LSC=P2A4;,v-oid At24c02Write(unsigned char .unsigned char );unsigned char At24cO2Read(unsigned char );#defiiie Coil_Al A1=1:B1=1:C1=O:D1=O;A 相通電,其他相斷電#defiiie Coil_Bl A1=O;B1=1;C1=1:D1=O;B 相通電,其他相斷電 define Coil_Cl A1=O:B1=O;C1=1:D1=1;C 相通電,其他相斷電 def

17、ine Coil_Dl A1=1:B1=O:C1=O:D1=1:/D 相通電,其他相斷電 unsigned char Speed;void DelayUs2x(iinsigned char t)(while(-t);)void DelayMs(unsigned char t)wliile(t)(大致延時(shí)ImSDelayUs2x(245);DelayUs2x(245);)mt Hweiidu=23 ,Lwendu=20,flag= 1 ,qiehiian;umt temp.TempH,TeinpL;uchar code table 1=Huchar code table2=Munsigned i

18、nt i;void At24cO2Write(imsigned char addrjmsigned char dat) (I2C_Start():I2C_SendByte(0xa0, 1)力發(fā)送寫器件地址I2C_SendByte(ad#,);發(fā)送要寫入內(nèi)存地址I2C_SendByte(dat. 0); 發(fā)送數(shù)據(jù)I2C_StopO;)unsigned char At24cO2Read(unsigned char addr)(misigned char num;I2C_Start():I2C_SendByte(0xa0, 1);發(fā)送寫器件地址I2C_SendByte(addr, 1):發(fā)送要讀取的

19、地址I2C_Start():I2C_SendByte(0xaL 1); 發(fā)送讀器件地址num=I2C_ReadByte0; 讀取數(shù)據(jù)I2C_StopO;renim num;)void delay(umt z)umt x,y;fbr(x=0:xz ;x+)fbr(y=0;y0;i)(DQ = 0;/給脈沖信號(hào)dat=l;DQ=1;/給脈沖信號(hào)if(DQ)dat|=0x80;delay 1(5);renini(dat);)*/Me*:寫一個(gè)字節(jié)/*,void WnteOiieChar(unsigned char dat)unsigned char i=0;for (i=8; i0; i)(DQ =

20、 0;DQ = dat&OxOl;delay 1(5);DQ=1;dat=l;delay M5);)/Me*;*/讀取溫度/*,unsigned mt ReadTeinperanire(void) (unsigned char a=0;unsigned mt b=0:unsigned mt t=0;Imt_DS18B20();WriteOneChar(OxCC); /跳過讀序號(hào)列號(hào)的操作WnteOneChar(Ox44); / 啟動(dòng)溫度轉(zhuǎn)換delay1(200);Imt_DS18B20();WriteOneChar(OxCC); 跳過讀序號(hào)列號(hào)的操作WnteOneChar(OxBE); 讀取溫

21、度寄存器等(共可讀9個(gè)寄存器)前兩個(gè)就是溫度a=ReadOneChar(); 低位b=ReadOneChar(); 高位b=8;t=a+b;retiim(t);)void Tite_com(uchar com)(lcdrs=O;寫指令時(shí) RS=Llcden=0;P0=com;給端口送指令delay(5);延時(shí) 5MSlcden= 1;使能端高電平有效delay(5);lcden=0;)void yTite_date(uchar date)(lcdrs=l;寫數(shù)據(jù)時(shí) RS=Llcden=0;PO=date;給端口送數(shù)據(jù)delay(5);延時(shí) 5MSlcden= 1;使能端高電平有效delay(5

22、);lcden=0;uchar num;lcdrw=0;寫信號(hào)拉低lcden=0;使能端拉低write_com(0x3 8);xTite_com(0x0c);開顯示/Oe開顯示不顯示光標(biāo)write_com(0x06);每寫一個(gè)地址和光標(biāo)加一Tite_com(0x01);顯示清零mte_com(0x80);第一行的初始地址為0x80for(nimi=0:num 14:num+)循環(huán)的數(shù)字要看上面的占多少個(gè)字符 Tite_date(table 1 num);多了 和少了都不會(huì)對(duì)的。delay ;wnte_com(0x80+0x40);第二行初始地址為 0x80-0x40fbr(num=0 ;num

23、=99) (Hwendu=99:) while(lKl);) if(K2=0)減delay(20);if(K2=0) (Hweiidu-;DelayMs(l);At24c02 Write(2 Hweiidu); if(Hrendu=99) (Lwendu=99;) while(!Kl);) )if(K2=0)減 (delay(20);if(K2=0) (Lweiidu-;DelayMs(l);At24c02 Wnte(3 .Lweiidu); if(Lwendu=0) Lwendu=0;) while(5Kl);) ) ATite_com(0x80*0x40+10); Tite_date(0x

24、40+12); ATite_date(0x30+10); vrite_date(0x30+Lwendu/l 0); Tite_date(0x30+Lwendu% 10); xTite_date(0xd0+15); write_date(0x40+3);)unsigned mt i;unsigned mt niunO = 4,numl = O.n;char c;void main()(while(l)temp=ReadTemperatuie();溫度讀取 if(temp&0x8000)判斷flag=O;負(fù)號(hào)標(biāo)志temp-temp; / 取反加 1temp +=1;)else(teinp=temp

25、;flag=l溫度為正標(biāo)志位1)TempH=temp4;溫度高 4 位 Tite_com(0x80*0x40);if(flag=O) writ e_da te (OxB 0); 負(fù)號(hào)標(biāo)志elsewrit e_date(0x20+l 1);正號(hào)標(biāo)志.write_com(0x80-0x41);write_date(0x30+TeiiipH/10),顯示溫度的十位 ATite_date(0x30+TempH% 10);顯示溫度的各位 TempL=temp&OxOF;TempL=TempL * 6 ;小數(shù)近似處理 wnte_com(0x80-0x43);write_date(0x2e); 顯示小數(shù)點(diǎn)w

26、rite_date(0x30+TempL,l 0),顯示溫度的小數(shù)ATite_date(OxdO+15);xTite_date(0x40+3);key。; 按鍵掃描DelayMs(l);Hwendu = At24cO2Read(2);DelayMs(l);Lwendu = At24cO2Read(3);ATite_com(0x80);Tite_date(0x40+8);xTite_date(0x40+5);wnte_date(0x40+12);wnte_date(0x40+12);writ e_date(0x40+15);xTite_com(0x80-7);writ e_com(0x80+10

27、);高上線xTite_date(0x40+8);wnte_date(0x30+10);wnte_date(0x30+Hwendu/l 0);writ e_date(0x30+Hwendu%l 0);Tite_date(OxdO+15);write_date(0x40+3);Tite_com(0x80-0x40+10);/氐下線Tite_date(0x40+12);Tite_date(0x30+10);write_date(0x30+Lwendu/l 0);ATite_date(0x30+Lwendu% 10);xTite_date(0xd0+15);write_date(0x40+3);fbr

28、(i=0;i(Hwendu*l 0)&(flag= 1 )溫度判斷 (if(K3=0)高低線切換delay(20);if(K3=0)(qiehuan=! qiehiian;while(!K3);)Speed=8;Coil_AlDelayMs( Speed);CoiLBlDelayMs(Speed);Coil_ClDelayMs(Speed);CoiLDlDelayMs(Speed);)if(TempH * 10+TempL,l 0)0; b)fbr(a=2; a0; a);)/* 函數(shù)名 :I2C_Start()* 函數(shù)功能:起始信號(hào):在I2C_SCL時(shí)鐘信號(hào)在高電平期間I2C_SDA信號(hào)產(chǎn)生

29、一個(gè)下降沿* 輸入:無* 輸出:無* 備注:起始之后I2C_SDA和I2C_SCL都為0*void I2C_Start()I2C.SDA = 1;I2C_Delayl Ous();I2C SCL=1;I2C_Delayl0us();建立時(shí)間是 I2C.SDA 保持時(shí)間4.7usI2C.SDA = 0;I2C_Delayl 0us();保持時(shí)間是 4usI2C SCL = 0;I2C_Delayl 0us();) /* 函數(shù)名:I2C_Stop()* 函數(shù)功能:終止信號(hào):在I2C_SCL時(shí)鐘信號(hào)高電平期間I2C_SDA信號(hào)產(chǎn)生一個(gè)上升沿* 輸入:無* 輸出:無* 備注:結(jié)束之后保持I2C_SDA和I2C_SCL都為1:表示總線空閑*void I2C_Stop()I2C_SDA = 0;I2C_Delayl 0us();I2C.SCL = 1;I2C_Delayl0us();建立時(shí)間大于 4.7usI2C.SDA = 1;I2C_Delayl 0us();)/*函數(shù)名*函數(shù)功能:I2cSendByte(uchar num):通過12c發(fā)送一個(gè)字If。在I2C_SCL時(shí)鐘信號(hào)高電平期間,*保持發(fā)送信號(hào)I2C.

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論