第章習(xí)題解答_第1頁(yè)
第章習(xí)題解答_第2頁(yè)
第章習(xí)題解答_第3頁(yè)
第章習(xí)題解答_第4頁(yè)
第章習(xí)題解答_第5頁(yè)
已閱讀5頁(yè),還剩45頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第2章組合邏輯電路分析與設(shè)計(jì)+V DD2-1圖2 5是兩個(gè)CMO邏輯門的內(nèi)部結(jié)構(gòu)圖,試說出邏輯門的名稱, 并寫出輸出函數(shù)表達(dá)式,畫出其邏輯符號(hào)。+V DD解圖2 &a)出路實(shí)現(xiàn),門功能,卒哂函數(shù)表港共為 2U* 3 b)電路會(huì)正號(hào)如圖2i (a表達(dá)式為F =A +B: 口 一 入端的小圜圈上示取:對(duì)聃4,網(wǎng) L2 了 1(b)所F=A其邏輯符柏抑或非運(yùn)算目輸出函數(shù) 1號(hào)小,輸入戶植B。ABUEQ6& Fa)ABQ5Q2LI(b)Q B所接輸-1 FQ6(a)圖2 5 CMOS邏輯門內(nèi)部結(jié)構(gòu)圖“)圖212-2 已知 74S00是 2 輸入四與非門,I o=20mA IoH=1mA I iL=2m

2、A I ih=50 a A; 7410 是 3 輸入三與非門,IOL=16mA I oh =0.4mA, IIL=1.6mA, I h=40 A。 試分別計(jì)算74S00和7410的扇出系數(shù)。理論上,一個(gè) 74S00邏輯門的輸出端 最多可以驅(qū)動(dòng)幾個(gè)7410邏輯門,一個(gè)7410邏輯門的輸出端最多可以驅(qū)動(dòng)幾個(gè) 74S00邏輯門?解74S00 驅(qū)動(dòng) 74S00: 10H/11H =1mA/50NA =20 ,IOL/IIL =20mA/2mA =10。所以,74S00的扇出系數(shù)N=10o7410 目區(qū)動(dòng) 7410: 10H/11H =0.4mA/405=10 , IOL /IIL =16mA/1.6m

3、A =10。 所以,7410的扇出系數(shù)No=10o74S00驅(qū)動(dòng) 7410: I oh(74soo)/1 ih(74io) 1mA / 40 A =25 , IOL(74S00) /IiL(7410) =20mA/1.6mA 之 12。所以,74S00可以驅(qū)動(dòng) 12 個(gè) 7410 的輸入 端7410 驅(qū)動(dòng) 74S0G Ioh(7410)/IiH(74S00) =0.4mA/50bA = 8 , IoL(7410) / I IL(74S00) =16mA/2mA =8,所以,7410可以驅(qū)動(dòng)8個(gè)74S00的輸入端。2 3圖27中的邏輯門均為TTL門。試問圖中電路能否實(shí)現(xiàn)Fi =AB , F2 =

4、AB , F3 =AB BC的功能?要求說明理由。ABC,+5V(a)(b)F3(c)解 圖2-7 (a)需要確定在與非門輸出信號(hào)驅(qū)動(dòng)下,三極管能否實(shí)現(xiàn)非 門功能。當(dāng)與非門輸出低電平(約0.3V)時(shí),由于三極管的Vbe Ibs,三極管飽和,F(xiàn)i輸出低電平(0.2V)。綜上所述,三極管實(shí)現(xiàn)非門功能。整個(gè)電路是一個(gè)與非-非結(jié)構(gòu),實(shí)現(xiàn)與 運(yùn)算Fi =AB。圖27 (b)所示電路中,當(dāng)與非門輸出高電平(3.6V)時(shí),三極管導(dǎo)通, 導(dǎo)通后的三極管的Vbe基本上被鉗制在0.7V,把與非門輸出電平也下拉到0.7V, 多余的高電平由邏輯門內(nèi)部的輸出電路負(fù)擔(dān), 邏輯門輸出電流過大。不僅造成 邏輯門輸出電平錯(cuò)誤,

5、而且容易損壞器件。所以,該電路結(jié)構(gòu)是不正確的。圖27 (c)所示電路是兩個(gè)集電極開路與非門的輸出信號(hào)采用“線與” 連接的結(jié)構(gòu)。該電路存在的問題是,缺少處右路門輸出端必須的上拉電阻 和上拉電源。所以,該電路不能實(shí)現(xiàn) F3=AB BCo2 4 試用OC與非門實(shí)現(xiàn)邏輯函數(shù)f=AC+aBC+AcD ,假定不允許反 變量輸入。O通過解 用OCt非門及其線與功能實(shí)現(xiàn)的邏輯函數(shù)形式為“與非一與”卡諾圖化簡(jiǎn)求取最簡(jiǎn)“與非-與”表達(dá)式時(shí),應(yīng)該圈0,先寫出最簡(jiǎn)或與式,然后變換成“與非一與”形式。電路如圖2 - 8所小。A&F=(A +B)(C+D)(A +C)HIIII川最簡(jiǎn)或與式=A+B +C +D +A +C

6、|llllllll最簡(jiǎn)或非一或非式=AB +CD +ACH川川IHIIIIIH最簡(jiǎn)與或非式B+E c1Rl=Ab|CDAc最簡(jiǎn)與非-與式圖282 5(1)(2)(4)某組合邏輯電路如圖2 9 (a)所示寫出輸出函數(shù)F的表達(dá)式;列出真值表;對(duì)應(yīng)圖2-9 (b)所示輸入波形,畫出輸出信號(hào) F的波形;用圖2-9 (c)所示與或非門實(shí)現(xiàn)函數(shù)F (允許反變量輸入)A(a)B F(b)0圖29解(1)圖 2-9 (a) 時(shí),三態(tài)與門工作,輸出信號(hào)中的兩個(gè)三態(tài)門分時(shí)操作,當(dāng)控制輸入變量E=0F=AB ;當(dāng)E=1時(shí),三態(tài)非門工作,輸出F=AB。綜合上述情況,輸出函數(shù)為F=E|_Ab+E序不B。(2)輸出函數(shù)F

7、的真值表如表2-4所示。(3)輸出信號(hào)的波形如圖2-10 (a)所示。(4)用與或非門實(shí)現(xiàn)邏輯函數(shù)時(shí),需要先將函數(shù)化簡(jiǎn)為最簡(jiǎn)與或非式, 采用卡諾圖圈0化簡(jiǎn)(a)中求得的函數(shù)F,得到最簡(jiǎn)或與式為 F =A1E +B山E +B),經(jīng)變換后得到最簡(jiǎn)與或非式為 F =A +EB + EB ,用圖2 9 (c)所示與或非門實(shí)現(xiàn)該表達(dá)式時(shí),應(yīng)正確處理多余的邏輯門和多余的輸入EABF0000r 0011 101000110r 1001 1 101011001110表2 4真值表A(a)圖 2 10eb 00&(b)圖 213端,實(shí)現(xiàn)函數(shù)F的電路如圖210 (b)所示。2-6寫出圖211所示電路的輸出函數(shù)表達(dá)

8、式,說明該電路的邏輯功能 和每個(gè)輸入變量和輸出變量的含義。解 由邏輯門構(gòu)成的組合邏輯電路的輸出函數(shù)表達(dá)式容易求得,只要按照信號(hào)傳輸路徑,從輸入端寫到輸出端即可。該電路是一個(gè)帶使能端的四選一數(shù)據(jù)選擇器(MUX,使能端EN低電平有 效,選坦&入端(地址輸入端)是 AA,數(shù)據(jù)輸入端上%Y是數(shù)據(jù)輸出 端。當(dāng)EN=1時(shí),MUX6效,輸出信號(hào)Y總是0;當(dāng)EN=0時(shí),Y輸出A1A選中圖 211&ABS3S2S1S01&l圖 2122 7列表說明圖212所示電路中, B的邏輯關(guān)系。解首先寫出函數(shù)F的表達(dá)式該電路是在S3SSS控制下的函數(shù)發(fā) 生器,在不同的S3S2S1S0取值下,F(xiàn)是A、 B不同的邏輯函數(shù),可以

9、實(shí)現(xiàn)常量 0、1 輸出;單變量輸出(原變量或反變量形 式);與、與非、或、或非、異或、同或 等邏輯功能,具體邏輯功能如表2-5所示。函數(shù)發(fā)生器是計(jì)算機(jī)CPU勺基本 功能,是CPlfr算術(shù)邏輯單元(ALU的 重要組成部分。當(dāng)S3SS1S0作為控制信號(hào)時(shí),F(xiàn)與A、S3S2S1S0FS3S2S1SDF0000A1000P 0001100100101010r 0011110110100AB110000101B1101r 0110111001111111表252 8譯碼器74154構(gòu)成的邏輯電路如圖213所示,寫出輸出函數(shù)的最 小項(xiàng)表達(dá)式。解74154是4線16線全譯碼器,輸出信號(hào)低電平有效。16個(gè)譯碼

10、輸出 變量是4個(gè)編碼輸入變量的所有最大項(xiàng) Yi=Mi (i=015),利用這個(gè)特點(diǎn)可 以實(shí)現(xiàn)四變量的邏輯函數(shù)。圖213所示電路的輸出函數(shù)F的表達(dá)式為F(W,X,Y, Z) =丫0丫1丫9丫11 Y9Y11Y13Y15= M0M1M9M11LM9MhM13M15二(M0 Ml M9 M11)(M9 M11 M13 M15)=m9 m11:wXYZ wxyz74154Y0Z -Y -X W 一A0AiA2A3G1G2Y4Y5Y6Y7Y8Y9Y10Y11Y12Y13Y14Y15o OI O- O- O- o- o&o- o o- o1o-FABCF(A,B,C)圖 2142 9圖214圖是由2線4線

11、譯碼器和8選1數(shù)據(jù)選擇器構(gòu)成的邏輯 電路,各模塊的輸入輸出端都是高電平有效, 試寫出輸出函數(shù)表達(dá)式,并整理 成12 m形式。解 高電平有效的2線-4線譯碼器的輸出變量是譯碼輸入變量的所有最 小項(xiàng),從而電路中MUX勺輸入變量為78選1MU瀚出函數(shù)的一般表達(dá)式為F= Dimii=0本題中2-10分別用與非門實(shí)現(xiàn)下列邏輯函數(shù),允許反變量輸入。(1) F =AB +A +C BD +BCD解 用兩級(jí)與非門電路實(shí)現(xiàn)邏輯函數(shù),是采用邏輯門實(shí)現(xiàn)組合邏輯電路最 常用的方法。兩級(jí)與非門電路結(jié)構(gòu)和與非-與非表達(dá)式形式相對(duì)應(yīng),而與非-與非表達(dá)式可以由與或表達(dá)式經(jīng)簡(jiǎn)單變換得到。本題應(yīng)先將函數(shù)變換為與或式,然后填入卡諾

12、圖,在卡諾圖上圈 1,求出 最簡(jiǎn)與或式,再變換為最簡(jiǎn)與非一與非式,最后畫出與非門電路圖,如圖 2- 15所示。F =AB A C BD BCD =AB ABCD BC BD(2) F(A,B,C,D) = m(2,4,6,7,10) + (0,3,5,8,15)解 經(jīng)卡諾圖化簡(jiǎn)(略),可以求出最簡(jiǎn)與或式,變換后可以得到最簡(jiǎn)與 非與非式。A BB DABFB216所示。我們同時(shí)畫出最簡(jiǎn)與一或電路圖和最簡(jiǎn)與非電路圖,如圖A CA D圖 218圖 216比較兩個(gè)電路圖可以看出,最簡(jiǎn)與一或電路和最簡(jiǎn)與非電路的輸入信號(hào)和 連接關(guān)系完全相同,只需要將與門和或門都替換為相應(yīng)與非門即可。所以,采用卡諾圖化簡(jiǎn)法

13、求最簡(jiǎn)與非門電路時(shí),只要求出最簡(jiǎn)與或式就可以直接畫出與 非門電路圖,不用求出最簡(jiǎn)與非表達(dá)式。(3) F(A,B,C,D) =口 M(2,4,6,10,11,14,15) 口 (0,1,3,9,12)解 經(jīng)卡諾圖圈1化簡(jiǎn)(略),求得最簡(jiǎn)與或式為f=aC+Ad ,直接畫出 該式對(duì)應(yīng)的與非門電路如圖2-17所示圖 217/、弓(A,B,C,D) - m(1,3,10,14,15)(4) 一F2(A,B,C,D)=,m(1,3,4,5,6,7,15)解多輸出函數(shù)的化簡(jiǎn)要考慮共用邏輯門,卡諾圖化簡(jiǎn)后的最簡(jiǎn)與或式為實(shí)現(xiàn)F1和F2的與非門電路如圖2-18所示,兩個(gè)函數(shù)共用了一個(gè)3輸入與 非門和一個(gè)4輸入與非

14、門。2-11分別用與非門和或非門實(shí)現(xiàn)函數(shù)(允許反變量輸入)。解通過卡諾圖化簡(jiǎn)求出最簡(jiǎn)與或式和最簡(jiǎn)或與式,然后分別變換成最簡(jiǎn) 與非-與非式和最簡(jiǎn)或非-或非式(概念清楚時(shí)可以省略該步驟)。XYZ2-12 試用3輸入與非門實(shí)現(xiàn)函數(shù)F=ABD +BC +ABD +BD ,允許反變量 輸入。解 本題屬于邏輯門輸入端受限類型,應(yīng)對(duì)表達(dá)式進(jìn)行變換,使每個(gè)與非 項(xiàng)的變量數(shù)在3個(gè)以內(nèi)。經(jīng)卡諾圖化簡(jiǎn)驗(yàn)證,給定的函數(shù)表達(dá)式已經(jīng)是最簡(jiǎn)與 或式。為了進(jìn)一步簡(jiǎn)化電路,注意到給定的函數(shù)表達(dá)式中,后三個(gè)乘積項(xiàng)都包 括變量B,利用這個(gè)特點(diǎn)進(jìn)行變換,在多級(jí)電路的基礎(chǔ)上,可以將所用邏輯門=ABD B ACD=ABD B ACD 1

15、 1F(A,B,C,D)=ABD B(C AD D) 二ABD b Acd的個(gè)數(shù)減到最少,電路如圖220所示2 13 試用一片2輸入四與非門芯片7400實(shí)現(xiàn)函數(shù) F =AC +BC +B(A C),不允許反變量輸入。解 首先化簡(jiǎn)函數(shù)F,求出最簡(jiǎn)與或式,然后按2輸入與非門格式進(jìn)行變 換,電路如圖2-21所示。F(A,B,C) =AC+BCHIIHM 最簡(jiǎn)與或式=AC BTCiiiii|2輸入與非表達(dá)式214改用最少的與非門實(shí)現(xiàn)圖2 22所示電路的功能。解 首先,根據(jù)圖2-22寫出函數(shù)表達(dá)式,然后進(jìn)行函數(shù)化簡(jiǎn),求出最簡(jiǎn) 與或式,并用與非門實(shí)現(xiàn)。在允許反變量輸入的條件下,實(shí)現(xiàn)該函數(shù)只需要兩 個(gè)2輸入

16、與非門,電路如圖2-23所示。ABC圖 223F =A B C A B C-A B C A B C=ABC AB C=AB +C|川最簡(jiǎn)與或式=AB C|川|最簡(jiǎn)與非式215已知輸入信號(hào)A R G D的波形如圖2 24所示,試用最少的邏 輯門(種類不限)設(shè)計(jì)產(chǎn)生輸出 F波形的組合電路,不允許反變量輸入。解 本題自變量和函數(shù)的取值關(guān)系由波形圖給出。 首先應(yīng)根據(jù)波形圖列出函數(shù)F的真值表(若波形圖上沒有給出所有的自變量取值組合,則對(duì)于那些波形圖上沒有出現(xiàn)的自變量取值, 相應(yīng)的函數(shù)值為),如表2 6 所示。本題的難點(diǎn)是要求用任意 種類的邏輯門實(shí)現(xiàn)無反變量輸 入的最簡(jiǎn)電路,經(jīng)過嘗試,在最 簡(jiǎn)或與式上做適

17、當(dāng)變換,可以用 2個(gè)與門和2個(gè)或非門實(shí)現(xiàn)該電 路,如圖2-25所示。F(A,B,C,D) =(A +C +D)(A +C +D)(B +C) H |川| 11 最簡(jiǎn)或與式=(A +C+D) ACDBC - I川川II消除其中的反變量ABCDFABCDF0000010001 1r00011100110010110101r 0011110110 101000110010101111011r 0110011100 I0111011110=A C D ACD BC表26216不附加邏輯門、只用1片74LS83分別實(shí)現(xiàn)下列BC則轉(zhuǎn)換電路。 (1)余3碼到8421碼的轉(zhuǎn)換。(2) 5421碼至IJ 842

18、1碼的轉(zhuǎn)換。(3) 2421碼至IJ 8421碼的轉(zhuǎn)換。解利用4位全加器芯片7483實(shí)現(xiàn)不同的BCM相互轉(zhuǎn)換的關(guān)鍵是要充 分利用7483的加法運(yùn)算能力,注意從 BCD碼轉(zhuǎn)換表中梳理兩種編碼各 碼字之間的取值關(guān)系,確定其中的運(yùn)算關(guān)系,本題各種 BCD碼對(duì)照表如 表2 7所小。表27十進(jìn)制 數(shù)8421 碼5421 碼2421 碼余3碼0000000000000001110001000100010100P 20010r 001000100101 13001100110011011040100010001000111 50101二 10001011100016011010011100100170111

19、r 10101101101081000-101111101011191001110011111100(1)由表 27 可見,余 3碼=8421 碼一(3) 1。= (8421 碼 + (13) 1。)?;?即在4位二進(jìn)制數(shù)的加減運(yùn)算中,減(3) 1。等效于加(13) 10。設(shè)余 3 碼為 ABCD 8421 碼為 WXYZ 貝U WXYZ=ABCD+(11Q1)實(shí)現(xiàn)余 3 碼到8421碼轉(zhuǎn)換的電路如圖226所示。設(shè)舟421歲巧5421 碼0 (4) 0 時(shí),| 8421最高位A=0;肉54218 8421碼為WXYZ由表272邯見,當(dāng)待轉(zhuǎn)換的5421碼=5421碼+ (0郎0) 2X注百到此Z

20、寸5421碼 3) 10(5)110 時(shí),8421 碼=54215421碼+(13) 10= 5421俏十 (1101落 他到翻時(shí)5421碼最高俅A翁所S2, WXYZSABCD+AA0A實(shí)現(xiàn)542C刊至I 8424LS83專換的電路0用科2-27-齊。74LS83CoA3A2A1A0 B3B2B1B0A3A2A1A0B3B2B1B00圖 226圖 2 27illl l1A B C D 110 1余3碼A B C D5421碼(3)設(shè)2421碼為ABCD 8421碼為 WXYZ由表27可見,當(dāng)待轉(zhuǎn)換的2421碼0io時(shí),8421碼=2421碼=2421碼+ (0000) 2,注意到此時(shí)2421

21、碼 最高位 A=0;當(dāng) 2421 碼封(5) 10 時(shí),8421 碼=2421 碼一(6) 10= 5421 碼+(10) 10= 5421 碼 + (1010)2,注意到此時(shí) 2421 碼最高位 A=1。所以,WXYZ=ABCD+A0A0實(shí)現(xiàn)2421碼到8421碼轉(zhuǎn)換的電路如圖2 28所小。5421碼8421碼W X Y Z-0ABCD8421碼W X Y ZS3 S2 S1 S0C4 74LS83C。- 0A3A2A1A0 B3B2B1B011 MlABCD 002421碼圖 2 282-17用一片4位全加器7483和盡量少的邏輯門,野妙勰下列BCDK 轉(zhuǎn)換電路。(1) 8421碼至IJ

22、5421碼的轉(zhuǎn)換。(2) 5421碼到余3碼的轉(zhuǎn)換。(3)余3碼到5421碼的轉(zhuǎn)換。解 (1)設(shè)8421碼為ABCD 5421碼為 WXYZ由表27可見,當(dāng)待轉(zhuǎn)換 的 8421 碼0 10時(shí),5421 碼=8421 碼=8421 碼+ (0000) 2;當(dāng) 8421 碼方 10時(shí),5421 碼=8421 碼 + (3) 10=8421 碼+ (0011) 2。首先,應(yīng)該設(shè)計(jì)一個(gè)判別輸入8421碼是否大于4的電路,以便確定是 否需要加3,這就是一個(gè)四舍五入電路,設(shè)該電路的輸入是 8421碼,用 ABCDg示,/&出是F, F=0表示四舍,F(xiàn)=1表示五入,對(duì)于 ABC& 1010 1111這六組非

23、法取值,F(xiàn)=中。采用卡諾圖化簡(jiǎn)法,可以求出F的最簡(jiǎn)與或 式為 F=A+BC+BD當(dāng)F=0時(shí),編碼轉(zhuǎn)換電路應(yīng)該加0;當(dāng)F=1時(shí),編碼轉(zhuǎn)換電路應(yīng)該加3 觀察0和3的二進(jìn)制值,可以將加數(shù)統(tǒng)一表示為二進(jìn)制數(shù) (00FF) 20所以, WXYZ=ABCD+00FF現(xiàn)8421碼至U 5421碼轉(zhuǎn)換的電路如圖 2 29所示。(2)設(shè)5421碼為ABCD余3碼為 WXYZ由表27可見,當(dāng)待轉(zhuǎn)換的 5421 碼0 (4) io時(shí),余 3 碼=5421 碼+ (3) 1。= 5421 碼 + (0011) 2;當(dāng) 5421 碼(5) 10 時(shí),余 3 碼=5421 碼=5421 碼+(0000) 2。由5421碼

24、編碼表可以發(fā)現(xiàn),這道題不必專門設(shè)計(jì)5421碼是否大于4的判別電路,輸入5421碼的最高位A就可以作為加0還是加3的判別標(biāo)當(dāng)A=0時(shí),應(yīng)該加3;當(dāng)A=1時(shí),應(yīng)該加0。所以,加數(shù)應(yīng)該統(tǒng)一表示為(00AA)2, WXYZ =ABCD +00AA 0實(shí)現(xiàn)5421碼至U余3碼轉(zhuǎn)換的電路如圖2-30所小。余3碼5421碼W X Y ZWXYZ,*(3)設(shè)余3科為ABCD|5421碼為WXYZ由表W2 7可Y,彳待轉(zhuǎn)換的余 =余 3 碼(13)110 = : 30余3碼=余3俏+桶0隹。S110 時(shí)4 5421當(dāng)余3 (10_ 余 13 碼 1 (3) 序 5421 5S0 :1010 =如小(1,101)

25、 2; S0顯然13A3A2A1A0B3B2B1B0A3 A2A1A0 B3B2B.1B0j A=1 時(shí)0A 。實(shí)現(xiàn)余3碼到A當(dāng)A=寸 平鯉13WYZ那1CD+AA(AA0A) 2 , 所示。A B C D 0 05421碼2-18 試用 41加器。,應(yīng)該加017483和4位比較器7485誨沙二31 8421BC加全解 采用4位二進(jìn)制數(shù)全加器芯片實(shí)現(xiàn)8421BC則加法運(yùn)算的關(guān)鍵是兩者 進(jìn)位時(shí)刻不同,4位二進(jìn)制加法器逢十六進(jìn)一;而 8421BC駟加法器則是逢十 進(jìn)一。采用7483進(jìn)行8421碼加法運(yùn)算時(shí),必須在和大于9時(shí),進(jìn)行加6校正。如何產(chǎn)生校正信號(hào)是設(shè)計(jì)難點(diǎn),該問題在教材中有詳細(xì)敘述。主教材

26、例2-6采用邏輯門設(shè)計(jì)校正電路,本題則采用集成比較器7485完成同樣功能。參見教材表216,用7483對(duì)兩個(gè)8421碼求和時(shí),當(dāng)7483的進(jìn)位。=1,或 7483的和輸出&S2S1&(9) 10時(shí),應(yīng)該對(duì)結(jié)果進(jìn)行加6校正。本題用一片4位 比較器7485判別7483的和輸出是否大于(9) io,大于時(shí)執(zhí)行加6校正。另外, 7483進(jìn)位輸出Q=1時(shí)也要執(zhí)行加6校正。完整電路如圖2 32所示,7483 (1)用于兩個(gè)8421碼相加,7485和或門 產(chǎn)生校正信號(hào),7483 (2)實(shí)現(xiàn)校正操作,需要校正時(shí),加 6;不需要校正時(shí),進(jìn)位輸入:口汕o圖 2-32加0。2-19試用4位全加器7483實(shí)現(xiàn)一位余3

27、 BC則加法器,允許附加其它 器件。解 先用一片4位二進(jìn)制全加器(7483 (1)將兩個(gè)1位余3碼當(dāng)作兩個(gè) 4位二進(jìn)制數(shù)相加,和如表28中的G&SS&所示,該輸出值與需要的余 3 碼輸出值(2位余3碼:十位是 WXYZ個(gè)位是ABCD之間的關(guān)系如表2 8所表2 8和Nio7483(1)輸 出十位輸 出WXYZ個(gè)位輸 出ABCD和Ni07483(1)輸 出十位輸 出WXYZ個(gè)位輸 出ABCD00 0 1 1 00 0 1 10 0 1 1101 0 0 0 00 1 0 00 0 1 110 0 1 1 10 1 0 0111 0 0 0 10 1 0 020 1 0 0 00 1 0 1121

28、0 0 1 00 1 0 130 1 0 0 10 1 1 0131 0 0 1 10 1 1 040 1 0 1 00 1 1 1141 0 1 0 00 1 1 150 1 0 1 11 0 0 0151 0 1 0 11 0 0 0160 1 1 0 01 0 0 1161 0 1 1 01 0 0 170 1 1 0 11 0 1 0171 0 1 1 11 0 1 080 1 1 1 01 0 1 1181 1 0 0 01 0 1 190 1 1 1 11 1 0 0示。根據(jù)取值關(guān)系,可以得到如下表達(dá)式,用另一片4位全加器(7483 (2)和一個(gè)非門實(shí)現(xiàn)該數(shù)值轉(zhuǎn)換,如圖 2-33所

29、示。W =0,X =C4,Y =C4,Z =C4,ABCD =S382ss0 C4C4C4I(- C4 =0 時(shí),ABCD =83828180 - 3 =S3S2S1S0 13= 83828180 1101C4 =1 時(shí),ABCD =S38281so 3 =838281so 0011)2-20 枚比較器7485獻(xiàn)淞上數(shù)坤選擇蔣設(shè)計(jì)C為三個(gè)互不相等的四位二冊(cè)制數(shù)W X用四位二進(jìn)制數(shù)的一個(gè)輸出(用胤!手加解希解解題思彌足器的選擇信號(hào),個(gè)邏輯電路、勺大小A3 C4二;用園8盼比較兩、一.小江新 g*選擇周大JA0據(jù)。CB、C中選出最大果作為數(shù)據(jù)選擇 下個(gè)位*力2A鯽d3果、用4數(shù)據(jù)選擇器從A和B中選

30、擇 ,山一 一-AX(A,即和刖3結(jié)果從中選擇較人際魏跳So/亥數(shù)就是ABB、&)中的最閻t較大的一個(gè)輸出(4g隹1MAXSA,B);將M?1,并根據(jù)比較,記作 MAX(A,B,C)0圖 234電路框圖如圖234所示。2-21二進(jìn)制碼到循環(huán)碼的轉(zhuǎn)換(1)完成3位二進(jìn)制碼(B2B1B0)轉(zhuǎn)換為典型循環(huán)碼(GGG)的真值表, 如表2- 9所小。(2)推導(dǎo)G、G、G的邏輯表達(dá)式。(3)用圖2 35所示的3線-8線譯碼器和8線-3線編碼器實(shí)現(xiàn)3位二進(jìn)制碼到循環(huán)碼的轉(zhuǎn)換,并加以文字說明(芯片輸入輸出都是高電平有效)B2B11B。3 - 8譯碼器A2A1A0Y0 Y1 丫2 丫3 丫4 丫5 丫6 丫7圖

31、 2-35012345678 - 3編碼器丫2丫1丫0表 210B2B1B0GGG0 0 00 0 00 0 10 0 10 1 00 1 10 1 1r 0 1 0 11 0 01 1 01 0 11 1 11 1 0r 1 0廠1 1 11 0 0解(1) 3位二進(jìn)制碼(BBB0)轉(zhuǎn)換為典型循環(huán)碼(GGG)的真值表如表2 - 10所小。(2)由于題目沒有要求函數(shù)表達(dá)式的形式,直接由真值表寫出最小項(xiàng)表 達(dá)式即可,我們還進(jìn)一步寫出了反映這種編碼轉(zhuǎn)換運(yùn)算特征的表達(dá)式形式。(3) 3位二進(jìn)制碼B2B1B0輸入38譯碼器后,譯碼器用輸出高電平指示 輸入編碼值,例如,當(dāng)B2B1Bo=000時(shí),譯碼器輸

32、出端Yo=1,其它輸出端都為 0;當(dāng)B2B1B0 =101時(shí),譯碼器輸出端丫5 =1 ,其它輸出端都為0o而8 3編碼 器的工作原理是,當(dāng)輸入端Ik=1時(shí),編碼器輸出k對(duì)應(yīng)的二進(jìn)制值。例如, 當(dāng)15=1,其它輸入端都為0時(shí),輸出編碼是G2GiGo=101。顯然,應(yīng)該將譯碼 器輸出和編碼器輸入恰當(dāng)?shù)剡B接起來,根據(jù)編碼轉(zhuǎn)換真值表和編譯碼器功能, B2B1B0 =000時(shí),譯碼器 Yo有效,查閱編碼轉(zhuǎn)換表,此時(shí)應(yīng)有 G2G1G0 =000, 要求編碼輸入端I0有效,所以,I0=Y0。又如,B2B1B0=1O1時(shí),譯碼器丫5有 效,查閱表2-10,此時(shí)應(yīng)有G2G1G0 =111,要求編碼輸入端I7有效

33、,所以,I7 =丫5。 譯碼器輸入和輸出、編碼器輸入和輸出的關(guān)系如表 2-11所示。完整電路如圖Y iGGGI0 0 0Y)0 0 0I 00 0 1Y0 0 1I 10 1 0Y0 1 1I 30 1 1Y30 1 0I 21 0 0Y41 1 0I 61 0 1Y1 1 1I 71 1 0Y1 0 1I 51 1 1Y71 0 0I 4表 211G2G 1GO2 36所小。2-22設(shè)有A、R C三個(gè)輸入信號(hào)通過排隊(duì)邏輯電路分別由三路輸出, 在任意時(shí)刻,輸出端只能輸出其中的一個(gè)信號(hào)。如果同時(shí)有兩個(gè)以上的輸入信 號(hào)時(shí),輸出選擇的優(yōu)先順序是:首先 A,其次B,最后C。列出該排隊(duì)電路的 真值表,寫

34、出輸出函數(shù)表達(dá)式。解 首先定義輸入、輸出變量:設(shè)三路輸入信號(hào) A B C無信號(hào)時(shí)為0, 有信號(hào)時(shí)為1;三路輸出信號(hào)F1、F2、F3無輸出時(shí)為0,表212有輸出時(shí)為1。然后根據(jù)題目含義列出真值表,如表 2-12所示。最后寫出函數(shù)表達(dá)式:由真值表可以看出,函數(shù)關(guān)系 十分簡(jiǎn)單,無需化簡(jiǎn)就可以直接寫出輸出函數(shù)的最簡(jiǎn)與 或式:ABCF1F2F30 0 0r 0 0 00 0 10 0 10 1 00 1 00 1 1r 0 1 0 1 0 01 0 01 0 11 0 01 1 01 0 01 1 11 0 02-23學(xué)校舉辦游藝會(huì),規(guī)定男生持紅票入場(chǎng),女生持綠票入場(chǎng),持黃 票的人無論男女都可入場(chǎng)。如果

35、一個(gè)人同時(shí)持有幾種票,只要有符合條件的票 就可以入場(chǎng)。試分別用與非門和或非門設(shè)計(jì)入場(chǎng)控制電路。解 定義變量:設(shè)A表示性別,取值0為男,1為女;B、C、D分別表示 黃票、紅票和綠票,取值0表示無票,1表示有票;輸出變量F=0表示不能 入場(chǎng),F(xiàn)=1表示可以入場(chǎng)。列出真值表,如表2 13所小??ㄖZ圖化簡(jiǎn)(略),求出函數(shù)F的最簡(jiǎn)與或式和或與式分別用與非門和或非門實(shí)現(xiàn)的電路如圖 2-37所示,允許反變量輸入。ABCDFABCDF0000010000 :000101001100101101000011110111010011100101011110110110111101 I0111111111表 2 1

36、3圖 2 37ABCF0 0 000 0 110 1 010 1 10 :1 0 011 0 101 1 00 I1 1 11表 2142 24 一個(gè)走廊的兩頭和中間各有一個(gè)開關(guān)控制同一盞燈。無開關(guān)閉合時(shí),電燈不亮;當(dāng)電燈不亮?xí)r,任意撥動(dòng)一個(gè)開關(guān)都使燈亮;當(dāng)燈亮?xí)r,任意撥動(dòng)一個(gè)開關(guān)都使燈熄滅。試用異或門實(shí)現(xiàn)該電燈控制 電路。解設(shè)三個(gè)開關(guān)為A B C,取值為0表示“關(guān)”,1 表示“開”;電燈用F表示,0為滅,1為亮。又設(shè)三個(gè) 開關(guān)都關(guān)閉時(shí),燈不亮,即變量 ABC=000寸,F(xiàn)=0。根據(jù)題意,真值表如表2-14所示。由真值表可以看出,當(dāng)自變量取值中有奇數(shù)個(gè) 1時(shí), 函數(shù)值為1,所以函數(shù)表達(dá)式為電路

37、如圖2-38所示。注意,74系列只有兩輸入異或門。C圖 2 38FAB2-25設(shè)A、B、G D分別代表四對(duì)話路,正常工作時(shí)最多只允許兩對(duì)同 時(shí)通話,并且A路和B路、C路和D路、A路和D路不允許同時(shí)通話。試用或 非門設(shè)計(jì)一個(gè)邏輯電路(不允許反變量輸入),用以指示不能正常工作的情況。解 設(shè)A、B、G D取值為1表示通話,0表示不通話;F=1表示不能正常 工作。真值表如表2- 15所小。用卡諾圖化簡(jiǎn)(略),求得的最簡(jiǎn)或與式為F = (A +C)(A +D)(B +D)。ABCDFABCDF0000010000r 00010100110010010100001111011101000110010101

38、011011r 0110011101 10111111111或非門電路如圖2 39所示。表 2152-26用與非門為醫(yī)院設(shè)計(jì)一個(gè)血型配對(duì)指示器,當(dāng)供血和受血血型不 符合表2-16所列情況時(shí),指示燈亮。解 首先需要確定輸入、輸出變量:輸入信號(hào)是供血方的血型和受血方的 血型,供血方的血型有 A、R AB O四種,受血方的血型也是這四種,表示血 型信息可以有不同的變量和變量取值的定義方法。這里我們采用編碼方式表示 血型信息:設(shè)供血方的血型用變量 WX的取值表示,受血方的血型用變量 YZ 的取值表示,血型編碼為:。型(00)、A型(01)、B型(10)、AB型(11), 即當(dāng)WX=00寸,表示供血方的

39、血型為。型;YZ=00則表示受血方的血型為 。型。 輸出信號(hào)是血型配對(duì)結(jié)果,用F表示,F(xiàn)=1表示血型不符,指示燈亮(需要一 個(gè)高電平驅(qū)動(dòng)的指示燈);F=0表示血型配對(duì)成功,指示燈不亮。根據(jù)上述變量定義和表216中的血型配對(duì),可以導(dǎo)出真值表如表 217所示。采用卡諾圖化簡(jiǎn)(圈1)可以求出最簡(jiǎn)與或式:F=WY+XZ與最簡(jiǎn)與或式相應(yīng)的與非門電路如圖 2-40所示(允許反變量輸入)表 217WXYZF供一 受WXYZF供一受00000-O10001B- 0A/000100 A10011B- A&YO001000 B10100B- B_&.B=X FF;001100 AB10110P B一AB 11一0

40、1001A一 011001A 0Z01010A一 A11011r aa圖 24001101A一 B11101A B01110Af AB11110AAB2-27分別用3線8線譯碼器74138和必要的邏輯門實(shí)現(xiàn)下列邏輯函 數(shù):(1) F(A,B,C) = m(0,3,6,7)(2) F(A,B,C) =口 M(1,3,5,7)(3) F(A,B,C) =ABC +A(B +C)(4) F(A,B,C) =(A +C)(A +B+C)解 74138是輸出低電平有效的3線-8線全譯碼器,8個(gè)譯碼輸出變量是3個(gè)編碼輸入變量的所有最大項(xiàng)。運(yùn)用邏輯函數(shù)最大項(xiàng)表達(dá)式的概念,可以用 一個(gè)74138和一個(gè)與門實(shí)現(xiàn)

41、任意一個(gè)3變量的邏輯函數(shù);由于最大項(xiàng)就是最小 項(xiàng)的非,通過對(duì)最小項(xiàng)表達(dá)式取兩次非,可以將最小項(xiàng)表達(dá)式寫成“最小項(xiàng)之 非”的與非形式,從而可以用一個(gè)74138和一個(gè)1引口”現(xiàn)邏輯函數(shù)。(1) F(A,B,C) = m(0,3,6,7) =口 M(1,2,4,5) =?曉5,電路如圖 2-41 所 示。對(duì)函數(shù)的最小項(xiàng)表達(dá)式進(jìn)行變換,有F(A,B,C) = m(0,3,6,7)=m0m3m6m7 =M0M3M6M7 =Y0Y3Y6Y7,該表達(dá)式 對(duì)應(yīng)的電路如圖2 42所示。我們可以將上述兩種實(shí)現(xiàn)方法歸納為:對(duì)于輸出低電平有效的譯碼器,可 以選取構(gòu)成函數(shù)的最大項(xiàng)對(duì)應(yīng)的輸出端,外加一個(gè)與門實(shí)現(xiàn);也可以選

42、取構(gòu)成函數(shù)的最小項(xiàng)對(duì)應(yīng)的輸出端(除最大項(xiàng)對(duì)應(yīng)的輸出端之外的另一組輸出端),外加一個(gè)與非門實(shí)現(xiàn)。采用74138實(shí)現(xiàn)邏輯函數(shù)時(shí),還要注意的是:G1G2AG2B =100,自變量 ABC 74138 的 AAA。74138的使能輸入端1 0 0 A BC74138_G1Y0_丫1G2AY2G2BY3A2Y5A1Y6A0Y71 0 F 0 一A B C74138G1G2AA2AlA001234567-Y-Y-Y-Y-Y-Y-Y-Y&圖 2 41圖 242F(A,B,C) -JI.I M(1,3,5,7) -Y1Y3Y5Y7(2)= m(0,2,4,6) =丫。丫2丫4丫61 0 這里只給出用74138

43、加與門實(shí)現(xiàn)的電路, _如圖243所示。0 -(3)首先將函數(shù)變換成最小項(xiàng)表達(dá)式形式,有 F(A,B,C) = m(5,6,7),用74138和一個(gè)3輸入與非門實(shí)現(xiàn)的電路如圖2 44所小。ABC74138G1G2AG2BA2A1A0Y0Y1Y2Y3Y4Y5Y6Y7圖 2 43(4)先將函數(shù)變換成最大項(xiàng)表達(dá)式的形式,有F(A,B,C) =n M(0,2) =m0m2=丫0丫2,用74138和一個(gè)2輸入與門實(shí)現(xiàn)的電路如1 00A BC74138_G1Y0一YiG2AY2G2BY3Y4A2Y5AiY6A0Y7& DF r10 0ABC74138_G1Y01Y1G2AY2G2BY3Y4A2Y5A1Y6A

44、0Y7圖 2 45圖 244圖2 45所示。2-28試用輸出高電平有效的4線-16線譯碼器和邏輯門分別實(shí)現(xiàn)下列 函數(shù):(1) W(A,B,C) = m(0,2,5,7)(2) X(A,B,C,D) =口 M(2,8,9,14)(3) Y(A,B,C,D) =n M(1,4,5,6,7,9,10,11,12,13,14)(4) Z(A,B,C,D) =(A$B)份(COD)解 輸出高電平有效的譯碼器的輸出變量是編碼輸入變量的最小項(xiàng),通??梢圆捎猛饧踊蜷T的方法實(shí)現(xiàn)最小項(xiàng)之和, 從而實(shí)現(xiàn)所需的邏輯函數(shù);也可以 對(duì)要實(shí)現(xiàn)函數(shù)的最大項(xiàng)表達(dá)式進(jìn)行變換,將其寫成最小項(xiàng)的或非形式,采用譯 碼器外加一個(gè)或非門實(shí)

45、現(xiàn)邏輯函數(shù)。為了簡(jiǎn)便起見,我們用一個(gè)4線-16線譯碼器和四個(gè)邏輯門實(shí)現(xiàn)本題的四 個(gè)邏輯函數(shù)。將輸入變量 A、B、G D送到譯碼器的編碼輸入端 A A、A、人。由于函數(shù)W(A,B,C) = m(0,2,5,7)是一個(gè)3變量函數(shù),而另外三個(gè)函數(shù) X、 Y、Z都是4變量函數(shù),為了統(tǒng)一使用一組輸入變量A、B、C、D,先將函數(shù)W擴(kuò)充為4變量函數(shù)。由于函數(shù)Y的最大項(xiàng)表達(dá)式中包含11個(gè)最大項(xiàng),直接實(shí)現(xiàn)需要一個(gè)11輸 入的或非門,而將其轉(zhuǎn)換為最小項(xiàng)表達(dá)式后,其中只包含5個(gè)最小項(xiàng),用一個(gè)5輸入的或門即可:Y(A,B,C,D) =: M(1,4,5,6,7,9,10,11,12,13,14) = m(0,2,3,

46、8,15) =丫0 Y2 Y3 Y8 丫化求函數(shù)Z的最小項(xiàng)表達(dá)式時(shí),不要試圖將異或運(yùn)算轉(zhuǎn)換為與、或運(yùn)算,而 是應(yīng)該利用異或運(yùn)算的特點(diǎn),直接確定真值表,從而也就確定了組成函數(shù)的最 小項(xiàng):電路如圖2 46所示。A B C D2 29試用3線8線譯碼器74138和必要的邏輯門實(shí)現(xiàn)5線32線譯刃勻奮。解 本題是典型的譯碼器規(guī)模擴(kuò)展問題。5線-32線譯碼器有5個(gè)編碼輸 入端,輸入5位二進(jìn)制編碼,32個(gè)譯碼輸出端輸出譯碼結(jié)果,任意時(shí)刻有且 只有一個(gè)輸出端有效。3線-8線譯碼器有3個(gè)編碼輸入端,8個(gè)譯碼低電平 有效的輸出端。顯然,4片74138可以提供32個(gè)譯碼輸出端,將5個(gè)編碼輸 入端白氐3位用來進(jìn)行片內(nèi)

47、譯碼,高2位用于實(shí)現(xiàn)片選,同時(shí)利用74138的3 個(gè)使能端實(shí)現(xiàn)片選,可以最大限度地減少外加邏輯門的數(shù)量。完整的電路如圖2-47所示。當(dāng)A4A3 =00時(shí),74138(1)被選中,根據(jù)A2A1A0的輸入值,Y7Y。 中有一個(gè)為低電平,其它三個(gè)芯片的輸出端都是高電平;當(dāng)A4A3 =01時(shí),74138(2)被選中,根據(jù)A2A1A0的輸入值,Y15Y8中有一個(gè)為低電平,其它三 個(gè)芯片的輸出端都是高電平;依此類推。構(gòu)造電路時(shí),要特別注意,輸入的編碼值要和譯碼輸出端的下標(biāo)數(shù)值一致Y31的0液928何旭625澄4 炎”22出液品918/7/6 Y15Y14Y13Y12Y11Y10Y9 Y8Y7 Y6 Y5

48、Y4 Y3 Y2Y1 Y0amY7Y6Y5Y4Y3Y2Y1 Yo74138(4)Gi G2AG2B A2A1A0丫7丫6丫5丫4丫3丫2丫1丫074138(3)Gi G2AG2B A2A1A0丫7丫6丫5丫4丫3丫2 Yi Yo74138(2)Gi G2AG2B A2A1A0丫7丫6丫5丫4丫3丫2丫1丫074138(1)G1 G2AG2B A2A1A01 -?r-o1A 4 A3 A2A1A0圖 2 472-30試用高電平譯碼輸出有效的4線-16線譯碼器和邏輯門設(shè)計(jì)一個(gè) 組合邏輯電路,計(jì)算兩個(gè)兩位二進(jìn)制數(shù)的乘積。解該問題分為兩個(gè)部分:一是設(shè)計(jì)一個(gè)用于計(jì)算兩個(gè)2位二進(jìn)制數(shù)的乘 積的電路,二是用

49、譯碼器實(shí)現(xiàn)該電路。首先設(shè)計(jì)該乘法電路,設(shè)兩個(gè) 2位二進(jìn)制數(shù)用AA和BB表示,乘積是4 位二進(jìn)制數(shù),用P3P2P1P0表示。根據(jù)乘法規(guī)則,列出真值表,如表 218所示。A1A0B1B0RRP1RAABBP3P2P1F0000000001000 0000r 0001000010010010 10010000010100100001100001011011001000000110000000101000111010011r 011000101110 :0110 10111001111111001表 2 18AABB圖 248采用譯碼器實(shí)現(xiàn)邏輯函數(shù)時(shí),不必化簡(jiǎn)邏輯函數(shù)。根據(jù)真值表,直接寫出 輸出函數(shù)的最大項(xiàng)表達(dá)式。最后,畫出用高電平譯碼輸出有效的4線16線譯碼器和3個(gè)或門實(shí)現(xiàn)的 電路圖,如圖248所示。2-31分別用四選一和八選一數(shù)據(jù)選擇器實(shí)現(xiàn)下列邏輯函數(shù)(1) F(A,B,C) = m(0

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論