單片機(jī)實(shí)訓(xùn)報(bào)告(簡易電壓表)_第1頁
單片機(jī)實(shí)訓(xùn)報(bào)告(簡易電壓表)_第2頁
單片機(jī)實(shí)訓(xùn)報(bào)告(簡易電壓表)_第3頁
單片機(jī)實(shí)訓(xùn)報(bào)告(簡易電壓表)_第4頁
單片機(jī)實(shí)訓(xùn)報(bào)告(簡易電壓表)_第5頁
已閱讀5頁,還剩12頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、單片機(jī)應(yīng)用實(shí)訓(xùn)報(bào)告第 2013至2014 學(xué)年第1 學(xué)期實(shí)訓(xùn)名稱: 單片機(jī)簡易數(shù)字電壓表 系 別: 信息工程系 專業(yè)班級: 電信121班 實(shí)訓(xùn)周次: 1718 指導(dǎo)老師: 何小河 向俊成 實(shí)訓(xùn)學(xué)生: 馮習(xí)偉 曾艷 苗宇 牟楠 綿陽職業(yè)技術(shù)學(xué)院信息工程系摘 要本設(shè)計(jì)是簡易數(shù)字電壓表,隨著電子科學(xué)技術(shù)的發(fā)展,電子測量成為廣大電子工作者必須掌握的手段,對測量的精度和功能的要求也越來越高,而電壓的測量甚為突出,因?yàn)殡妷旱臏y量最為普遍。本設(shè)計(jì)在參閱了大量前人設(shè)計(jì)的數(shù)字電壓表的基礎(chǔ)上介紹一種基于89S52單片機(jī)的一種電壓測量電路,該電路采用ICL7135高精度、雙積分A/D轉(zhuǎn)換電路,測量范圍直流0-

2、77;5伏,使用LCD液晶模塊顯示,可以與PC機(jī)進(jìn)行串行通信。正文著重給出了軟硬件系統(tǒng)的各部分電路,介紹了雙積分電路的原理,89S52的特點(diǎn),ICL7135的功能和應(yīng)用,LCD1601的功能和應(yīng)用。該電路設(shè)計(jì)新穎、功能強(qiáng)大、可擴(kuò)展性強(qiáng)。本文首先簡要介紹了設(shè)計(jì)電壓表的主要方式以及單片機(jī)系統(tǒng)的優(yōu)勢;然后詳細(xì)介紹了直流數(shù)字電壓表的設(shè)計(jì)流程,以及硬件系統(tǒng)和軟件系統(tǒng)的設(shè)計(jì),并給出了硬件電路的設(shè)計(jì)細(xì)節(jié),包括各部分電路的走向、芯片的選擇以及方案的可行性分析等。通過這一個(gè)星期的努力,另外通過同學(xué)的幫助,我做成了這個(gè)簡易數(shù)字電壓表,將程序鍵入可以顯示0-±5的不同電壓值。通過此次課程設(shè)計(jì)使我對我們所學(xué)

3、的知識有了更深的認(rèn)識和體會(huì),對自我動(dòng)手的能力也提高了很多。本論文重點(diǎn)介紹單片機(jī)的數(shù)字電壓表的工作原理。目 錄1 概述1.1課程設(shè)計(jì)的目的和意義31.2課程設(shè)計(jì)的任務(wù)32 系統(tǒng)總體方案及硬件設(shè)計(jì)2.1課程設(shè)計(jì)系統(tǒng)環(huán)境32.2設(shè)計(jì)原理32.3設(shè)計(jì)方框圖42.4硬件電路的設(shè)計(jì)42.5電壓顯示電路73 軟件設(shè)計(jì)3.1主程序設(shè)計(jì)73.2ADC0809轉(zhuǎn)換模塊設(shè)計(jì)84 keil軟件仿真4.1最小系統(tǒng)仿真94.2數(shù)模顯示電路仿真95課程設(shè)計(jì)體會(huì)10 參考文獻(xiàn)11附1:源程序代碼附2:系統(tǒng)原理圖1. 概 述1.1課程設(shè)計(jì)的目的和意義:本次課程設(shè)計(jì),讓我學(xué)習(xí)和鞏固了使用單片機(jī)的定時(shí)中斷、基本的常用的寄存器的使用

4、方法,還有就是學(xué)習(xí)常用的外圍硬件使用、電路原理圖設(shè)計(jì)、PCB設(shè)計(jì)等等。使我對已學(xué)過的基礎(chǔ)知識有了更深入的理解,獨(dú)立思考、獨(dú)立工作以及應(yīng)用所學(xué)基本理化分析和解決實(shí)際問題的能力有了很大的提高。1.2 課程設(shè)計(jì)的任務(wù):數(shù)字電壓表(Digital Voltmeter)簡稱DVM,它是采用數(shù)字化測量技術(shù),把連續(xù)的模擬量(直流輸入電壓)轉(zhuǎn)換成不連續(xù)、離散的數(shù)字形式并加以顯示的儀表。傳統(tǒng)的指針式電壓表功能單一、精度低,不能滿足數(shù)字化時(shí)代的需求,采用單片機(jī)的數(shù)字電壓表,由精度高、抗干擾能力強(qiáng),可擴(kuò)展性強(qiáng)、集成方便,還可與PC進(jìn)行實(shí)時(shí)通信。目前,由各種單片A/D 轉(zhuǎn)換器構(gòu)成的數(shù)字電壓表,已被廣泛用于電子及電工測

5、量、工業(yè)自動(dòng)化儀表、自動(dòng)測試系統(tǒng)等智能化測量領(lǐng)域,示出強(qiáng)大的生命力。與此同時(shí),由DVM擴(kuò)展而成的各種通用及專用數(shù)字儀器儀表,也把電量及非電量測量技術(shù)提高到嶄新水平。本論文重點(diǎn)介紹單片機(jī)的數(shù)字電壓表的工作原理。2. 系統(tǒng)原理及基本框圖2.1課程設(shè)計(jì)系統(tǒng)環(huán)境(1)proteus仿真軟件(2)Keil c51軟件調(diào)試環(huán)境及調(diào)試程序2.2 設(shè)計(jì)原理單片機(jī)AT89C52和ADC0832設(shè)計(jì)一個(gè)數(shù)字電壓表,能夠測量05V之間的直流電壓值,兩位數(shù)碼顯示。重點(diǎn)負(fù)責(zé)單片機(jī)AT89C52硬件部分的功能及應(yīng)用。AT89S52是一個(gè)低功耗,高性能CMOS 8位單片機(jī),片內(nèi)含8k Bytes ISP(In-system

6、 programmable)的可反復(fù)擦寫1000次的Flash只讀程序存儲(chǔ)器,器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)制造,兼容標(biāo)準(zhǔn)MCS -51指令系統(tǒng)及80C51引腳結(jié)構(gòu),芯片內(nèi)集成了通用8位中央處理器和ISP Flash存儲(chǔ)單元,功能強(qiáng)大的微型計(jì)算機(jī)的AT89S52可為許多嵌入式控制應(yīng)用系統(tǒng)提供高性價(jià)比的解決方案。   AT89S52具有如下特點(diǎn):40個(gè)引腳,8k Bytes Flash片內(nèi)程序存儲(chǔ)器,256 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),32個(gè)外部雙向輸入/輸出(I/O)口,5個(gè)中斷優(yōu)先級2層中斷嵌套中斷,2個(gè)16位可編程定時(shí)計(jì)數(shù)器,2

7、個(gè)全雙工串行通信口,看門狗(WDT)電路,片內(nèi)時(shí)鐘振蕩器。    單片機(jī)A/D轉(zhuǎn)換器ADC0809電壓顯示器模擬電壓輸入量程控制電路系統(tǒng)總框圖2.3 設(shè)計(jì)方框圖2.4硬件電路的設(shè)計(jì)單片機(jī)采用MCS-51系列單片機(jī)。由ATMEL公司生產(chǎn)的AT89S52是一種低功耗、高性能CMOS8位微控制器,具有8K 在系統(tǒng)可編程Flash 存儲(chǔ)器。使用Atmel 公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)80C51 產(chǎn)品指令和引腳完全兼容。在單芯片上,擁有靈巧的8 位CPU 和在線系統(tǒng)可編程Flash,使得AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、有效的解決方案。

8、AT89S52具有以下標(biāo)準(zhǔn)功能: 8k字節(jié)Flash,256字節(jié)RAM,32 位I/O 口線,看門狗定時(shí)器,2 個(gè)數(shù)據(jù)指針,三個(gè)16 位定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振及時(shí)鐘電路??臻e模式下,CPU停止工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。AT89S52單片機(jī)單片機(jī)選用的是ATMEL公司新推出的AT89S52,如圖 3.2.1.1所示。該芯片具有低功耗、高性能的特點(diǎn),是采用CMOS工藝的8位單片機(jī),與AT89C51完全兼容。AT89S52還有以下主要特

9、點(diǎn):   采用了ATMEL公司的高密度、非易失性存儲(chǔ)器(NV-SRAM)技術(shù);  其片內(nèi)具有256字節(jié)RAM,8KB的可在線編程(ISP)FLASH存儲(chǔ)器;  有2種低功耗節(jié)電工作方式:空閑模式和掉電模式 AT89S52有40個(gè)引腳,32個(gè)外部雙向輸入/輸出(I/O)端口,同時(shí)內(nèi)含2個(gè)外中斷口,2個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程器。其將通用的微處理器和Flash存儲(chǔ)器結(jié)合在一起,特別是可反復(fù)擦寫的Flash存儲(chǔ)器可有效地降低開發(fā)。單片機(jī)管腳說明VCC:供電電壓。GND:接地。P0口:

10、P0口為一個(gè)8位漏級開路雙向I/O口,每腳可吸收8TTL門流。當(dāng)P1口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩

11、沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號和控制信號。P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電

12、平,P3口將輸出電流(ILL)這是由于上拉的緣故。P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號。RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6。因此它可用作對外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí), ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用

13、。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。/PSEN:外部程序存儲(chǔ)器的選通信號。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號將不出現(xiàn)。/EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出。2

14、5電壓顯示電路設(shè)計(jì)中采用的是8段LED數(shù)碼管來顯示電壓值。LED具有耗電低、亮度高、視角大、線路簡單、耐震及壽命長等優(yōu)點(diǎn),它由8個(gè)發(fā)光二極管組成,其中7個(gè)按8字型排列,另一個(gè)發(fā)光二極管為圓點(diǎn)形狀,位于右下角,常用于顯示小數(shù)點(diǎn)。把8個(gè)發(fā)光二極管連在一起,公共端接高電平,叫共陽極接法,相反,公共端接低電平的叫共陰極接法,我們采用共陽極接法。當(dāng)發(fā)光二極管導(dǎo)通時(shí),相應(yīng)的一段筆畫或點(diǎn)就發(fā)亮,從而形成不同的發(fā)光字符。其8段分別命名為dp g f e d c b a。例如,要顯示“0”,則dp g f e d c b a分別為:1100 0000B;要顯示“A”,則dp g f e d c b a分別為:0

15、001 0001B(共陽極)。若要顯示多個(gè)數(shù)字,只要讓若干個(gè)數(shù)碼管的位碼循環(huán)為低電平就可以了。根據(jù)設(shè)計(jì)要求,顯示電路需要至少4位LED數(shù)碼管來顯示電壓值,我們再多加一位用來顯示電壓單位“V”,則有7位LED循環(huán)顯示。利用單片機(jī)的I/O口驅(qū)動(dòng)LED數(shù)碼管的亮滅,設(shè)計(jì)中由P0口驅(qū)動(dòng)LED的段碼顯示,即顯示字符,由P2口選擇LED位碼,即選擇點(diǎn)亮哪位LED來顯示。3.系統(tǒng)的軟件設(shè)計(jì)3.1主程序設(shè)計(jì)ADC0809與單片機(jī)連接電路的軟件設(shè)計(jì)系統(tǒng)的程序流程圖如圖所示。主程序一開始運(yùn)行則設(shè)置堆棧起始地址為70H,設(shè)置中斷寄存器,用來對ICL7135的中斷進(jìn)行計(jì)數(shù),每5次后清零,完成一次數(shù)據(jù)采集工作,然后設(shè)置

16、ICL7135的STB端的中斷的優(yōu)先級。緊接著LCDM1601B進(jìn)行一次清屏,使其各個(gè)指令、數(shù)據(jù)寄存器的值進(jìn)行清空,屏幕不顯示任何字符。以前面對1601B的介紹,只要將01H送到數(shù)據(jù)總線,使RS=0,R/W=0,E有個(gè)下降沿的脈沖就可以完成清屏工作。用以下指令實(shí)現(xiàn) MOV P2,#01H ;送到數(shù)據(jù)DB7-DB0,調(diào)用子程序 ENABLE,由于下降沿時(shí),內(nèi)部數(shù)據(jù)要送到RAM區(qū),所以要有一個(gè)延時(shí)子程序,使這個(gè)下降沿持續(xù)2.5毫秒。內(nèi)部RAM有指令代碼后就開始對RAM進(jìn)行清零,所以屏幕原有的字符將被清除。接著對1601進(jìn)行功能的設(shè)定。MOV P2,#01111000B,按表3.4.5來看是設(shè)定圖4

17、.1.1 主程序流程圖顯示器按2行顯示,每行8位,5×7點(diǎn)陣。調(diào)用一次子程序ENABLE程序,寫入CPU的指令寄存器中。每次向LCDM中寫入一個(gè)指令,就調(diào)用一次ENABLE,然后再對顯示器進(jìn)行閃爍、光標(biāo)等功能進(jìn)行設(shè)定。顯示器的RAM地址按加方法進(jìn)行讀寫。再設(shè)定第一行字符,也就是Voltage的顯示地址80H。字符Voltage的TABLE表地址送到DPTR中,然后調(diào)用遠(yuǎn)程查表命令,依次把數(shù)據(jù)送到P2口,這時(shí)再調(diào)用子程序WRITE3,使LCD1601的RS=1,R/W=0使使能端E產(chǎn)生一個(gè)下降沿脈沖,將數(shù)據(jù)送入到數(shù)據(jù)寄存器中,接下來執(zhí)行子程序DISPLAY1,它的主要功能是將TABLE

18、表中的字符輸出到LCD中去。調(diào)定好顯示字符數(shù)即遠(yuǎn)程查表的次數(shù),就開始查表了。 例如第一個(gè)字符“V”的ASCII碼是56H,就將這個(gè)碼送到P2口,再調(diào)用使能數(shù)據(jù)子程序,使RS=1(數(shù)據(jù)區(qū)使能)寫入顯示數(shù)據(jù)區(qū),R/W=0表示寫,E=來個(gè)下降沿延時(shí)2.5毫秒,使數(shù)據(jù)寫入RAM內(nèi)。完成圖4.1.2 數(shù)據(jù)地址TABLE表輸出以后,向指令RAM中寫入第2行的起始地址為OCH,再調(diào)用顯示采樣數(shù)據(jù)的子程序。采樣數(shù)據(jù)存放的數(shù)據(jù)地址安排如下圖所示,首先將60H中的數(shù)顯示在正負(fù)號的位置上,按照ASCII碼表,正號不顯示(#20H),負(fù)號顯示“”(#2DH)。3.2通訊模塊設(shè)計(jì)設(shè)計(jì)89S52單片機(jī)內(nèi)部有一個(gè)全雙工的串

19、行通信口,即串行接收和發(fā)送緩沖器(SBUF),這兩個(gè)在物理上獨(dú)立的接收發(fā)送器,既可以接收數(shù)據(jù)也可以發(fā)送數(shù)據(jù)。但接收緩沖器只能讀出不能寫入,而發(fā)送緩沖器則只能寫入不能讀出,它們的地址為99H。這個(gè)通信口既可以用于網(wǎng)絡(luò)通信,亦可實(shí)現(xiàn)串行異步通信,還可以構(gòu)成同步移位寄存器使用。如果在傳行口的輸入輸出引腳上加上電平轉(zhuǎn)換器,就可方便地構(gòu)成標(biāo)準(zhǔn)的RS-232接口。89S52的串行口有4種工作方式,1種同步方式,3種異步方式。本方式選方式1,一幀數(shù)據(jù)有10位,包括起始位0、8位數(shù)據(jù)位和1位停止位1。串行口電路在發(fā)送時(shí)能自動(dòng)加入起始位和停止位。在接收時(shí),停止位進(jìn)入SCON中的RB8位。方式1的波特率是可變的,

20、由定時(shí)器1的溢出率決定。由定時(shí)器1最好工作在方式2上(自動(dòng)重裝載模式),這樣只需對TH1設(shè)置一次即可。數(shù)據(jù)通過TXD輸出,在8個(gè)位輸出完畢后,SCON寄存器的TI位被設(shè)為1,CPU只要判斷TI是1,接著發(fā)送下一個(gè)字節(jié)。波特率的設(shè)定:定時(shí)器T1工作在方式2的初值為:(1.1)為了減小誤差,時(shí)鐘振蕩頻率采用11.0592MHz,選用定時(shí)器T1工作在方式2作波特率發(fā)生器,波特率為300,設(shè)SMOD為0,依公式(1.1)得初值為:所以TH1 = TL1 = A0HMOV SCON ,#50H ;URAT工作在方式1上MOV TMOD ,#20H ;TIME1工作在方式2上MOV TH1 , #0A0H

21、 ;設(shè)置波特率為300本設(shè)計(jì)的中斷十分重要,為了減少相互間的干擾,保證可靠性,采用查詢方式判斷是否發(fā)送完畢。 A6: JBC TI , A5 ;如果發(fā)送完畢跳,清標(biāo)志位,跳到A5。 AJMP A6 ;否則跳到A6,等待 A5: INC R0 4 .keil51軟件仿真4.1單片機(jī)最小系統(tǒng)仿真4.2系統(tǒng)的隨機(jī)狀態(tài):數(shù)碼管顯示及數(shù)模轉(zhuǎn)換電路仿真圖5.課程設(shè)計(jì)體會(huì)通過一周的課程能夠設(shè)計(jì),使我深刻的認(rèn)識到單片機(jī)的應(yīng)用已經(jīng)在工業(yè)、電子等方方面面展示出了它的優(yōu)越性,利用單片機(jī)在設(shè)計(jì)電路逐漸成了趨勢,它與外圍的簡單電路再加上優(yōu)化程序就可以構(gòu)建任意的產(chǎn)品,使得本設(shè)計(jì)成為現(xiàn)實(shí)。隨著單片機(jī)的日益發(fā)展,它必將在未來

22、顯示出更大的活力,為電子設(shè)計(jì)增加更多精彩。同時(shí)我也學(xué)到了很多書本上學(xué)習(xí)不到的東西,不僅使我加深了對單片機(jī)理論的理解,將理論很好地應(yīng)用到實(shí)際當(dāng)中去,而且我還學(xué)會(huì)了如何去培養(yǎng)我們的創(chuàng)新精神,從而不斷地戰(zhàn)勝自己,超越自己。創(chuàng)新,是要我們學(xué)會(huì)將理論很好地聯(lián)系實(shí)際,并不斷地去開動(dòng)自己的大腦,從為人類造福的意愿出發(fā),做自己力所能及的,別人卻沒想到的事。使之不斷地戰(zhàn)勝別人,超越前人。同時(shí),更重要的是,我在這一設(shè)計(jì)過程中,學(xué)會(huì)了堅(jiān)持不懈,不輕易言棄。設(shè)計(jì)過程,也好比是我們?nèi)祟惓砷L的歷程,常有一些不如意,也許這就是在對我們提出了挑戰(zhàn),勇敢過,也戰(zhàn)勝了,勝利的鐘聲也就一定會(huì)為我們而敲響。這個(gè)設(shè)計(jì)過程中,我遇到過許

23、多次失敗的考驗(yàn),就比如,自己對實(shí)際生活中的交通秩序的不了解給整個(gè)設(shè)計(jì)帶來的困擾,真想要就此罷休,然而,就在想要放棄的那一刻,我明白了,原來結(jié)果并不那么重要,我們更應(yīng)該注重的是這一整個(gè)過程。于是,我堅(jiān)持了下來。最后,終于按要求把作品做出來了,雖然看似很簡單,但是對我們的實(shí)際動(dòng)手能力卻是很考驗(yàn)的,這也對我們今后的工作敲響了警鐘:要認(rèn)真的看待每個(gè)需要處理的問題,不要認(rèn)為事情過于簡單,不能急于求成,更不要輕易說放棄,要保持你的頭腦清醒。這次單片機(jī)課程設(shè)計(jì)給我的最大的印象就是努力的動(dòng)手去做,困難在你的勇氣和毅力下是抬不了頭的。從做這個(gè)設(shè)計(jì)開始無論遇到什么困難,我都沒有一絲的放棄的念頭。出于對知識的渴望,

24、出于對新技術(shù)的好奇,出于對一切未知的求知。希望以后有更多的機(jī)會(huì)多多參與這樣的設(shè)計(jì)和實(shí)習(xí),鍛煉我們的動(dòng)手能力,為今后的工作和學(xué)習(xí)奠定基礎(chǔ)。參考文獻(xiàn)1 余發(fā)山.單片機(jī)原理及應(yīng)用.徐州:中國礦業(yè)大學(xué)出版社,20032 譚浩強(qiáng).C語言設(shè)計(jì)(第二版).北京:清華大學(xué)出版社,20063 吳金戌,沈慶陽,郭庭吉.8051單片機(jī)實(shí)踐與應(yīng)用M.北京:清華大學(xué)出版社,2002.4 劉偉,趙俊逸,黃勇,一種基予C8051F單片機(jī)的SOC型數(shù)據(jù)采錄器的設(shè)計(jì)與實(shí)現(xiàn)A.天津市計(jì)算機(jī)學(xué)會(huì)單片機(jī)分會(huì)編. 2003年全國單片機(jī)及嵌如入式系統(tǒng)學(xué)術(shù)年會(huì)論文集(下冊)C .北京:北京航空航天大學(xué)出版社,2003 .790-7945

25、何立民.單片機(jī)應(yīng)用技術(shù)大全.北京:北京航空航天大學(xué)出版社, 1994附1 源程序代碼 一個(gè)發(fā)光二極管顯示電路#include<reg51.h>sbit P0_1=P01;void delay(unsigned char i);void main() while(1) P0_1=0; delay(20); P0_1=1; delay(20); void delay(unsigned char i) unsigned char j,k; for(k=0;k<i;k+) for(j=0;j<255;j+);附(2)最小系統(tǒng)原理圖附(3)數(shù)碼管顯示電路程序#include<reg51.h>#include<intrins.h>void delayxms(unsigned char x) unsigned char i;for(i=0;i<x;i+)TH1=0xfc;TL1=0x18;TR1=1;while(!TF1);TF1=0; main() unsigned char led=0xc0,0xf9,0xa4,0xb0;unsigned char i,w;TMOD=0x10;while(1)w=0x80;for(i=0;i<4;i+) P2=w; w>>=1;P0=ledi;de

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論