高仿真數(shù)碼管電子鐘課程設(shè)計(jì)報(bào)告_第1頁
高仿真數(shù)碼管電子鐘課程設(shè)計(jì)報(bào)告_第2頁
高仿真數(shù)碼管電子鐘課程設(shè)計(jì)報(bào)告_第3頁
高仿真數(shù)碼管電子鐘課程設(shè)計(jì)報(bào)告_第4頁
高仿真數(shù)碼管電子鐘課程設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、高仿真數(shù)碼管電子鐘目錄高仿真數(shù)碼管電子鐘2摘要21 引言31.1 本系統(tǒng)研究的背景和意義31.2 本系統(tǒng)主要研究內(nèi)容42 系統(tǒng)總體設(shè)計(jì)42.1 系統(tǒng)設(shè)計(jì)方案與論證42.11 FPGA設(shè)計(jì)方案52.12 NE555時基電路設(shè)計(jì)方案52.13單片機(jī)設(shè)計(jì)方案52.14最終設(shè)計(jì)方案62.2 系統(tǒng)總體結(jié)構(gòu)圖63 系統(tǒng)硬件設(shè)計(jì)63.1 芯片介紹63.11 8051單片機(jī)簡單介紹63.12 74LS138 3-8譯碼器介紹93.2 系統(tǒng)硬件原理圖113.3復(fù)位模塊113.4按鍵模塊123.5顯示驅(qū)動模塊134 系統(tǒng)軟件設(shè)計(jì)134.1 系統(tǒng)軟件總體設(shè)計(jì)134.2 中斷子程序134.3按鍵掃描子程序145 系統(tǒng)

2、調(diào)試155.1 硬件調(diào)試155.2 軟件調(diào)試156 結(jié)論15參考文獻(xiàn)17附錄18高仿真數(shù)碼管電子鐘摘要電子時鐘主要是利用電子技術(shù)將時鐘電子化、數(shù)字化,擁有時鐘精確、體積小、界面友好、可拓展性能強(qiáng)等特點(diǎn),被廣泛應(yīng)用于生活和工作當(dāng)中。 本文主要為實(shí)現(xiàn)一款可正常顯示時間、帶有制調(diào)整、帶有顯示以及時間校準(zhǔn)功能的一款基于單片機(jī)仿真的多功能電子鐘。 本文對當(dāng)前的電子鐘開發(fā)手段進(jìn)行了比較與分析,最終確定了采用單片機(jī)技術(shù)實(shí)現(xiàn)高仿真電子鐘的設(shè)計(jì)。本設(shè)計(jì)采用芯片作為核心,采用外部時鐘脈沖定時,用軟件自帶的電子鐘組件實(shí)現(xiàn)高度仿真的顯示效果。軟件部分主要采用簡單且流通性強(qiáng)的語言編寫實(shí)現(xiàn)。這種高度仿真的電子鐘具有電路簡

3、單,讀取方便、顯示直觀、功能多樣、時間精度較高、操作簡單、編程容易成本低廉等諸多優(yōu)點(diǎn)。 本次設(shè)計(jì)主要是用電路軟件實(shí)現(xiàn)了高仿真數(shù)碼管電子鐘的仿真。稍加改裝,增加部分功能所生產(chǎn)出的實(shí)際產(chǎn)品即可應(yīng)用于一般的生活和工作中,從而給人們的生活和生產(chǎn)帶來便利,符合電子儀器儀表的發(fā)展趨勢,具有廣闊的市場前景。關(guān)鍵詞:電子鐘、單片機(jī)、1 引言 時間是人類生活必不可少的重要元素,如果沒有時間的概念,社會將不會有所發(fā)展和進(jìn)步。從古代的銅壺滴漏、十二天干地支,到后來的機(jī)械鐘表以及當(dāng)今的石英鐘,都充分顯現(xiàn)了時間的重要,同時也代表著科技的進(jìn)步。致力于計(jì)時器的研究和充分發(fā)揮時鐘的作用,將有著主要的意義。1.1 本系統(tǒng)研究的

4、背景和意義20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展。在其推動下,現(xiàn)代電子產(chǎn)品幾乎滲透到了社會的各個領(lǐng)域,有利的推動和提高了社會生產(chǎn)力的發(fā)展與信息化程度,同時也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提升,產(chǎn)品更新?lián)Q代的節(jié)奏也越來越快。時間對人們來說總是那么寶貴,工作的忙碌性和繁雜容易使人忘記當(dāng)前的時間。然而遇到重大事情的時候,一旦忘記時間,就會給自己或他人造成很大麻煩。平時我們要求上班準(zhǔn)時,約會或召開會議要提前時間;火車要準(zhǔn)時到達(dá),航班準(zhǔn)時起飛;工業(yè)生產(chǎn)中,很多環(huán)節(jié)都需要用時間來確定工序替換時刻。所以說能隨時準(zhǔn)確知道時間并利用時間,是我們生活和工作中必不可少的。電子鐘是采用電子電路實(shí)現(xiàn)對時分秒進(jìn)行數(shù)字顯示的及時裝

5、置,廣泛應(yīng)用于個人家庭,車站,碼頭辦公室等辦公場所。由于數(shù)字集成電路的發(fā)展,使得數(shù)字鐘的精度遠(yuǎn)遠(yuǎn)超過老式機(jī)械鐘表,鐘表的數(shù)字化給人們的生產(chǎn)生活帶來了極大的方便,而且大大的擴(kuò)展了原先鐘表的功能。諸如定時自動報(bào)警、0按時自動打鈴、定時廣播、自動啟閉路燈、定時開關(guān)烘箱、通斷電力設(shè)備,設(shè)置各種定時電氣的自動啟用等,所有這些都已鐘表數(shù)字化為基礎(chǔ)的,因此,研究數(shù)字電子鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。1.2 本系統(tǒng)主要研究內(nèi)容本設(shè)計(jì)采用芯片作為核心,采用外部時鐘脈沖定時,用軟件自帶的電子鐘組件實(shí)現(xiàn)高度仿真的顯示效果。本次設(shè)計(jì)的電子鐘主要研究內(nèi)容:(1)研究電子時鐘的原理與實(shí)現(xiàn)方法(2)51單片機(jī)的原理與

6、應(yīng)用(3)構(gòu)思基于單片機(jī)的高仿真電子時鐘的實(shí)現(xiàn)方案(4)熟悉運(yùn)用C51單片機(jī)語言編寫軟件系統(tǒng)(5)熟悉運(yùn)用Keil C軟件與Proteus軟件仿真和調(diào)試系統(tǒng)2 系統(tǒng)總體設(shè)計(jì)2.1 系統(tǒng)設(shè)計(jì)方案與論證 電子時鐘既可以通過純硬件實(shí)現(xiàn),也可以通過軟硬件結(jié)合實(shí)現(xiàn),根據(jù)電子時鐘里的核心部件秒信號的產(chǎn)生原理,通常有以下三種形式:2.11 FPGA設(shè)計(jì)方案現(xiàn)場可編程門陣列(即FPGA)是20世紀(jì)70年代發(fā)展起來的一種可編程邏輯器件,是目前數(shù)字系統(tǒng)設(shè)計(jì)的主要硬件基礎(chǔ)。FPGA在設(shè)計(jì)過程中方便、快捷,而且FPGA技術(shù)功能強(qiáng)大,能夠應(yīng)用其制作諸如基代碼發(fā)生器、數(shù)字頻率計(jì)、電子琴、電梯控制器、自動售貨機(jī)控制系統(tǒng)、多

7、功能波形發(fā)生器、步進(jìn)電機(jī)定位控制系統(tǒng)、電子時鐘等。應(yīng)用FPGA能夠?qū)r鐘設(shè)計(jì)為四種類型:全局時鐘、門控時鐘、多級邏輯時鐘和波動式時鐘。多時鐘系統(tǒng)能夠包括上述四種時鐘類型的任意組合。2.12 NE555時基電路設(shè)計(jì)方案采用NE555時基電路或其他振蕩電路產(chǎn)生秒脈沖信號,作為秒加法電路的時鐘信號或?yàn)樘幚砥鞯耐獠恐袛噍斎胄盘?,可?gòu)成電子鐘。通過調(diào)整相關(guān)參數(shù)可使輸出的頻率為精確的1HZ。 圖2.12 基于 NE555的秒信號發(fā)生器采用NE555定時器設(shè)計(jì)電子時鐘,成本低,容易實(shí)現(xiàn)。但是受芯片引腳數(shù)量和功能的限制,不容易實(shí)現(xiàn)電子時鐘的多功能性。2.13單片機(jī)設(shè)計(jì)方案 利用單片機(jī)的智能性,可方便的實(shí)現(xiàn)具有

8、智能的電子鐘設(shè)計(jì)。單片機(jī)具有時鐘振蕩系統(tǒng),利用系統(tǒng)時鐘借助微處理器的定時器/計(jì)數(shù)器可實(shí)現(xiàn)電子鐘功能。然而系統(tǒng)時鐘誤差較大,電子鐘的積累誤差也可能較大,所以通過誤差修改軟件加以修正,或者在設(shè)計(jì)高精度的時鐘日歷芯片,以精確時間,另外很多功能不同的單片機(jī)是兼容的,這就更便于實(shí)現(xiàn)產(chǎn)品的多功能性。2.14最終設(shè)計(jì)方案 在比較了三種方案之后,考慮單片機(jī)貨源充足、價(jià)格低廉,可軟硬件結(jié)合使用,能夠較方便的實(shí)現(xiàn)系統(tǒng)的多功能性,故采用單片機(jī)作為本次設(shè)計(jì)的硬件基礎(chǔ)。 本次設(shè)計(jì)用Proteus軟件本身的50HZ激勵源作為時鐘脈沖,接入單片機(jī)的外部中端口來仿真是想基準(zhǔn)時鐘信號輸入。2.2 系統(tǒng)原理及總體結(jié)構(gòu)圖本系統(tǒng)采用

9、51單片機(jī)中芯片控制整個系統(tǒng),連接各部分模塊,下面為系統(tǒng)的設(shè)計(jì)原理組成框圖: 圖2.2 系統(tǒng)原理組成框圖本系統(tǒng)主要采用單片機(jī)作為主控芯片,外接復(fù)位模塊、調(diào)整校時模塊、驅(qū)動顯示模塊和外部時鐘脈沖。本設(shè)計(jì)采用50HZ激勵源仿真時鐘脈沖,驅(qū)動顯示模塊主要由單片機(jī)P2.0P2.2三個端口接74LS138譯碼器,8個輸出端口接8片74100的使能端,P2.3接74LS138的使能端,P2.4P2.7接到8片74100的輸入端,采取動態(tài)掃描原理來驅(qū)動顯示。由于50HZ激勵源仿真時鐘脈沖接在AT89C51芯片的中斷端口,激勵源時鐘脈沖周期為0.02秒,在每一個時鐘脈沖上升沿觸發(fā)中斷,調(diào)用中斷子程序。中斷子程

10、序主要為每0.5秒使顯示組件中的LED:點(diǎn)亮,每1秒LED:關(guān)閉且秒遞增,滿60秒加分,同時每秒刷新時分秒顯示。系統(tǒng)設(shè)置了顯示緩沖disp_Buffer,共有7位,前6位為時分秒顯示緩沖(各占兩位),第7位控制AM、PM、SET標(biāo)志以及LED發(fā)光管閃光顯示。disp_Buffer6從低位到高位,第1位為0時AM顯示,為1時PM顯示;第2位為0時12h制,為1時24h制,僅當(dāng)?shù)?位為1時,第1位才有效;第3位為SET標(biāo)志位,為1時處于設(shè)置狀態(tài),發(fā)光管亮,為0時處于顯示狀態(tài),發(fā)光管滅;第4位為LED閃爍控制位,為0時亮,為1時滅。系統(tǒng)在顯示狀態(tài)時,中斷開,循環(huán)執(zhí)行中斷子程序,秒遞增,刷新顯示,將當(dāng)

11、前時間current_Time中的相應(yīng)位裝入disp_Buffer中相應(yīng)位,再從單片機(jī)P2端口輸出驅(qū)動顯示。當(dāng)系統(tǒng)處于設(shè)置狀態(tài)時,關(guān)閉中斷,顯示暫停,執(zhí)行調(diào)整與設(shè)置時鐘程序。3 系統(tǒng)硬件設(shè)計(jì)3.1 芯片介紹3.11 8051單片機(jī)簡單介紹 AT89C51是美國ATMEL公司生產(chǎn)的低電壓、高性能CMOS8位單片機(jī),片內(nèi)含4KB的可反復(fù)擦除的只讀程序存儲器(PEROM)和128B隨機(jī)存取數(shù)據(jù)存儲器(RAM),器件采用ATMEL公司的高密度、非易失性存儲技術(shù)生產(chǎn)兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器(CPU)和FLASH存儲單元,功能強(qiáng)大AT89C51單片機(jī)可為您提供許多高性價(jià)比的應(yīng)

12、用場合。主要性能參數(shù):與MCS-51產(chǎn)品指令系統(tǒng)完全兼容4K字節(jié)可重擦寫FLASH閃速存儲器1000次擦寫周期全靜態(tài)操作:0HZ-24MHZ三級加密程序存儲器1288字節(jié)內(nèi)部RAM32個可編程I/O口線2個16位定時/計(jì)數(shù)器6個中斷源可編程串行UART通道 圖 3.111 AT89C51 引腳封裝圖芯片引腳介紹:(1)主電源引腳 VCC:+5 V電源 VSS:地線。(2)時鐘電路引腳 XTAL1和XTAL2:外接晶體引線端。當(dāng)使用芯片內(nèi)部時鐘時,此二引線端用于外接石英晶體和微調(diào)電容;當(dāng)使用外部時鐘時,用于接外部時鐘脈沖信號。(3)控制信號引腳 RST/VPD:復(fù)位信號。當(dāng)輸入的復(fù)位信號延續(xù)兩個

13、機(jī)器周期以上的高電平時即為有效,用以完成單片機(jī)的復(fù)位初始化操作;當(dāng)單片機(jī)掉電時,此引腳上可接備用電源,由VPD向片內(nèi)RAM提供備用電源,一保持片內(nèi)RAM中的數(shù)據(jù)不丟失。 ALE/PROG:地址鎖存控制信號。在系統(tǒng)擴(kuò)展時,ALE用于控制把P0口輸出的低8位地址鎖存起來,以實(shí)現(xiàn)低位地址和數(shù)據(jù)的隔離。此外,由于ALE是以晶振1/6的固定頻率輸出的正脈沖,因此,可作為外部時鐘或外部定時脈沖使用。 對于EPROM型單片機(jī),在EPRAM編程期間,此引腳接收編程脈沖。 PSEN:片外程序存儲器讀選通信號輸出端。 EA/VPP:訪問程序存儲控制信號。當(dāng)EA信號為低電平時,對ROM的讀操作限定在外部程序存儲器;

14、當(dāng)EA信號為高電平時,對ROM的讀操作是從內(nèi)部程序存儲器開始,并可延至外部程序存儲器。對于EPROM型單片機(jī),在EPRAM編程期間,此引腳接上加21V EPROM編程電源VPP。(4)I/O引腳P0.0 P0.7: P0口8位雙向口線。P1.0 P1.7 :P1口8位雙向口線。P2.0 P2.7 :P2口8位雙向口線。P3.0 P3.7 :P3口8位雙向口線。 P3口線的第二功能。P3的8條口線都定義有第二功能,詳見表3-1。表3-11 P3口各引腳與第二功能表引腳第二功能信號名稱P3.0RXD串行數(shù)據(jù)接收P3.1TXD串行數(shù)據(jù)發(fā)送P3.2INT0外部中斷0申請P3.3INT1外部中斷1申請P

15、3.4T0定時/計(jì)數(shù)器0的外部輸入P3.5T1定時/計(jì)數(shù)器1的外部輸入P3.6WR外部RAM寫選通P3.7RD外部RAM讀選通以上把8051單片機(jī)的全部信號引腳分別以第一功能和第二功能的形式列出。對于各種型號的芯片,其引腳的第一功能信號是相同的,所不同的只在引腳的第二功能信號。對于9、30和31三個引腳,由于第一功能信號與第二功能信號是單片機(jī)在不同工作方式下的信號,因此不會發(fā)生使用上的矛盾。但是P3口的情況卻有所不同,它的第二功能信號都是單片機(jī)的重要控制信號。因此,在實(shí)際使用時,都是先按需要選用第二功能信號,剩下的才以第一功能的身份作數(shù)據(jù)位的輸入/輸出使用。3.12 74LS138 3-8譯碼

16、器介紹 74LS138為3線8線譯碼器,其管腳圖如下: 3.121 74LS138管腳圖引腳端符號: A、B、C 譯碼地址輸入端 G1 選通端 、 選通端(低電平有效) Y0Y7 譯碼輸出端(低電平有效)當(dāng)一個選通端(G1)為高電平,另兩個選通端(和)為低電平時,可將地址端(A、B、C)的二進(jìn)制編碼在一個對應(yīng)的輸出端以低電平譯出。利用G1、和可級聯(lián)擴(kuò)展成24線譯碼器;若外接一個反相器還可級聯(lián)擴(kuò)展成32線譯碼器。若將選通端中的一個作為數(shù)據(jù)輸入端時,138還可作為數(shù)據(jù)分配器。功能表: 表312 74LS138功能表其中 ,H=高電平,L=低電平,X=任意。74LS138的邏輯圖為: 圖 3.122

17、 74LS138邏輯結(jié)構(gòu)圖3.2 系統(tǒng)硬件原理圖下面為系統(tǒng)的硬件原理圖 圖3.2 系統(tǒng)硬件電路圖3.3復(fù)位模塊關(guān)于單片機(jī)的復(fù)位主要有三種方法:上電復(fù)位、按鍵電平復(fù)位、按鍵脈沖復(fù)位,各自的電路搭建方法如下: 圖3.31 上電復(fù)位和按鍵復(fù)位電路本次設(shè)計(jì)主要采取上電復(fù)位接法,電路原理如下: 圖3.32復(fù)位模塊圖3.4按鍵模塊按鍵模塊為系統(tǒng)制調(diào)整,校時、校分部分,具體電路原理圖如下: 圖3.4按鍵模塊電路原理圖其中K1按鍵為制調(diào)整按鍵,當(dāng)K1處于開啟狀態(tài)時,為12h小時制,AM/PM顯示標(biāo)志亮,當(dāng)K1處于閉合狀態(tài)時,為24h小時制。K2按鍵為時間設(shè)置按鍵,只有K2處于閉合狀態(tài)時,K3、K4按鍵才有效。

18、K3為加時按鍵,K4為加分按鍵。 3.5顯示驅(qū)動模塊本模塊主要利用AT89C51的P2端口連接74LS138 38譯碼器依次選通8個74100電子鐘顯示組件驅(qū)動芯片,來顯示驅(qū)動的。限于篇幅,具體電路圖請看附件。4 系統(tǒng)軟件設(shè)計(jì)4.1 系統(tǒng)軟件總體設(shè)計(jì)系統(tǒng)主源程序流程圖如下圖所示,源程序見附件。 圖4.1 系統(tǒng)主程序流程圖4.2 中斷子程序MCS-51系列單片機(jī)有五個中斷源,中斷分為2個中斷優(yōu)先級,即高優(yōu)先級和低優(yōu)先級,每個中斷源的優(yōu)先級都可以由軟件來設(shè)定。中斷地址表如表4-2所示。表4-2中斷地址表本次設(shè)計(jì)主要通過將50HZ外部時鐘基準(zhǔn)脈沖接在端口,以此來不斷產(chǎn)生中斷的,中斷子程序的流程圖如下

19、圖所示。源程序見附件。 圖4-2 中斷子程序的流程圖4.3按鍵掃描子程序按鍵掃描子程序流程圖如下圖所示,該部分源程序見附件。圖4.3按鍵掃描程序流程圖5 系統(tǒng)調(diào)試5.1 硬件調(diào)試硬件調(diào)試是測試焊接完成后的成品的硬件電路的功能,發(fā)現(xiàn)及排除相關(guān)故障,主要包括主控芯片的調(diào)試以及各模塊電路的調(diào)試。由于本次設(shè)計(jì)僅僅處于軟件仿真階段,并沒有去設(shè)計(jì)焊接電路,故該部分略。在不久的畢業(yè)設(shè)計(jì)論文中一定會完善該部分。5.2 軟件調(diào)試本設(shè)計(jì)的軟件編譯是在Keil uVision3上進(jìn)行的,此軟件可以生成HEX文件用于下載到單片機(jī)上工作。生成HEX文件后可以在PROTEUS上進(jìn)行仿真調(diào)試。6 結(jié)論本次設(shè)計(jì)我組只是較為粗

20、糙地實(shí)現(xiàn)了一個電子鐘的一些基本功能,完全由軟件仿真實(shí)現(xiàn)的,系統(tǒng)中有關(guān)部分是用軟件中相似功能模塊替代的,主要有以下兩個部分:1)外部時鐘是由軟件中激勵源替代的,在真正的設(shè)計(jì),時鐘部分是很重要的,可以由石英晶振或NE555芯片產(chǎn)生。2)電子鐘的顯示部分是由軟件中自帶的電子鐘組件替代的,實(shí)際設(shè)計(jì)中可以由多位數(shù)碼管顯示,應(yīng)用LED顯示的動靜態(tài)原理驅(qū)動多位LED數(shù)碼管;或者用LCD液晶顯示模塊來顯示時間。通過做這次課程設(shè)計(jì),我組成員學(xué)到了很多東西,首先是Proteus及Keil C軟件的運(yùn)用,并對多功能數(shù)字電子鐘的相關(guān)原理有了更深入的理解,以前只是對這些軟件有個大概的了解,但通過這次實(shí)踐,對這些軟件有了

21、更深刻的了解,相信在以后的學(xué)習(xí)中可以掌握得更好。 參考文獻(xiàn)1 蔡美琴等.MCS-51系列單片機(jī)系統(tǒng)極其應(yīng)用.北京:高等教育出版社,20042 林伸茂.8051單片機(jī)徹底研究實(shí)習(xí)篇.北京:人民郵電出版社,20043 胡學(xué)海.單片機(jī)原理極其應(yīng)用系統(tǒng)設(shè)計(jì).北京:電子工業(yè)出版社,2005 4 張毅剛.單片機(jī)原理極其應(yīng)用.哈爾濱:哈爾濱工業(yè)大學(xué)出版社,2004 5 韓志軍等.單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì).北京:機(jī)械工業(yè)出版社,2005 6 舒懷林.單片機(jī)原理與接口技術(shù).武漢:華中科技大學(xué)出版社,2001 附錄附件1 :源程序如下/-/名稱:高度仿真數(shù)碼管電子鐘/-/說明本例在Proteus中選用了高仿真的電子鐘元

22、器件,并添加了時分調(diào)整/功能,閃爍顯示,AM/PM切換,12h/24h制選擇等。#include <reg51.h>#include <intrins.h>#define uchar unsigned char#define uint unsigned intuchar tCount=0;/時鐘設(shè)置開關(guān)及按鍵sbit K1=P00; /12h/24hsbit K2=P01; /設(shè)置sbit K3=P02; /小時加sbit K4=P03; /時鐘加/當(dāng)前時間:時分秒uchar current_Time =12,59,00;/時分秒顯示緩沖(各占兩位),最后的0x00控制

23、AM,PM及發(fā)光管閃光等uchar disp_Buffer =0,0,0,0,0,0,0x00;/12h,24h,AM,PM及SET控制標(biāo)志uchar f_24=0,f_AM=0,f_set=0;/-/延時/-void DelayMS(uint x)uchar i;while(-x) for (i=0;i<120;i+); /-/加時/-void Add_Hour()/小時數(shù)累加+current_Time0;/24小時制時滿24歸零if (f_24 =1 && current_Time0 = 24 ) current_Time0 = 0;/12h制滿13歸1,且取反AM與

24、PM標(biāo)志if (f_24=0 && current_Time0=13) current_Time0=1;/將原來的AM與PM位取反disp_Buffer6=(disp_Buffer6&0xFE)|(disp_Buffer6&0x01)&0x01; /-/加分/-void Add_Miniute()/分鐘數(shù)累加+current_Time1;if (current_Time1=60)current_Time1=0;Add_Hour();/-/根據(jù)當(dāng)前時間刷新時分秒顯示緩沖/-void Refresh_Disp_Buffer()uchar i;/刷新顯示緩沖f

25、or (i=0;i<3;i+)disp_Buffer2*i = current_Timei/10;disp_Buffer2*i+1 =current_Timei%10;/-/外部中斷/-void EX0_INT() interrupt 0+tCount;if (tCount=25) disp_Buffer6 &=0xF7;/每0.5秒 LED:點(diǎn)亮if (tCount=50) /每秒刷新顯示緩沖等tCount=0;disp_Buffer6 |=0x08; /每1秒 LED:關(guān)閉if (+current_Time2 = 60) /秒遞增current_Time2=0;Add_Miniute();Refresh_Disp_Buffer(); /刷新時分秒的顯示緩沖 /-/顯示時間/-void Display_Time()uchar

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論