D類數(shù)字功率放大器_第1頁(yè)
D類數(shù)字功率放大器_第2頁(yè)
D類數(shù)字功率放大器_第3頁(yè)
D類數(shù)字功率放大器_第4頁(yè)
D類數(shù)字功率放大器_第5頁(yè)
已閱讀5頁(yè),還剩5頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、3.3 D 類數(shù)字功放D 類功放也叫丁類功放,是指功放管處于開(kāi)關(guān)工作狀態(tài)的功率放大器。早先在音響領(lǐng)域 里人們一直堅(jiān)守著 A 類功放的陣地,認(rèn)為 A 類功放聲音最為清新透明,具有很高的保真度。 但 A 類功放的低效率和高損耗卻是它無(wú)法克服的先天頑疾。 后來(lái)效率較高的 B 類功放得到廣 泛的應(yīng)用,然而,雖然效率比 A 類功放提高很多,但實(shí)際效率仍只有 50%左右,這在小型便 攜式音響設(shè)備如汽車功放、筆記本電腦音頻系統(tǒng)和專業(yè)超大功率功放場(chǎng)合,仍感效率偏低不 能令人滿意。所以,如今效率極高的 D 類功放,因其符合綠色革命的潮流正受著各方面的重 視,并得到廣泛的應(yīng)用。3.3.1 D 類功放的特點(diǎn)與電路組

2、成1 D 類功放的特點(diǎn)(1) 效率高。在理想情況下, D類功放的效率為100% (實(shí)際效率可達(dá) 90%左右)。B類 功放的效率為78.5% (實(shí)際效率約50%),A類功放的效率才 50%或25% (按負(fù)載方式而定)。 這是因?yàn)镈類功放的放大元件是處于開(kāi)關(guān)工作狀態(tài)的一種放大模式。無(wú)信號(hào)輸入時(shí)放大器處 于截止?fàn)顟B(tài),不耗電。工作時(shí),靠輸入信號(hào)讓晶體管進(jìn)入飽和狀態(tài),晶體管相當(dāng)于一個(gè)接通 的開(kāi)關(guān),把電源與負(fù)載直接接通。理想晶體管因?yàn)闆](méi)有飽和壓降而不耗電,實(shí)際上晶體管總 會(huì)有很小的飽和壓降而消耗部分電能。( 2)功率大。在 D 類功放中,功率管的耗電只與管子的特性有關(guān),而與信號(hào)輸出的大 小無(wú)關(guān),所以特別有

3、利于超大功率的場(chǎng)合,輸出功率可達(dá)數(shù)百瓦。( 3)失真低。 D 類功放因工作在開(kāi)關(guān)狀態(tài),因而功放管的線性已沒(méi)有太大意義。在 類功放中,沒(méi)有 B 類功放的交越失真,也不存在功率管放大區(qū)的線性問(wèn)題,更無(wú)需電路的負(fù) 反饋來(lái)改善線性,也不需要電路工作點(diǎn)的調(diào)試。( 4)體積小、重量輕。 D 類功放的管耗很小,小功率時(shí)的功放管無(wú)需加裝體積龐大的散 熱片,大功率時(shí)所用的散熱片也要比一般功放小得多。而且一般的D 類功放現(xiàn)在都有多種專用的 IC 芯片,使得整個(gè) D 類功放電路的結(jié)構(gòu)很緊湊,外接元器件很少,成本也不高。2 D 類功放的組成與原理D 類功放的電路組成可以分為三個(gè)部分: PWM 調(diào)制器、脈沖控制的大電流

4、開(kāi)關(guān)放大器、 低通濾波器。電路結(jié)構(gòu)組成如 圖 3.22 所 示。數(shù)字音頻輸入模擬音頻輸入oPWM調(diào)制器1D類放大LC網(wǎng)絡(luò)驅(qū)動(dòng)開(kāi)關(guān)式低通功放濾波器數(shù)字內(nèi)插 濾波器等比特 調(diào)制器PWM信號(hào)高頻振蕩(幾百kHz)三角波發(fā)生器圖3.22 D類功放的組成其中第一部分為 PWM調(diào)制器。最簡(jiǎn)單的只需用一只運(yùn)放構(gòu)成比較器即可完成。把原始 音頻信號(hào)加上一定直流偏置后放在運(yùn)放的正輸入端,另外通過(guò)自激振蕩生成一個(gè)三角形波加 到運(yùn)放的負(fù)輸入端。當(dāng)正端上的電位高于負(fù)端三角波電位時(shí),比較器輸出為高電平,反之則 輸出低電平。若音頻輸入信號(hào)為零時(shí),因其直流偏置為三角波峰值的1/2,則比較器輸出的高低電平持續(xù)的時(shí)間一樣,輸出就

5、是一個(gè)占空比為1:1的方波。當(dāng)有音頻信號(hào)輸入時(shí),正半周期間,比較器輸出高電平的時(shí)間比低電平長(zhǎng),方波的占空比大于1:1 ;音頻信號(hào)的負(fù)半周期間,由于還有直流偏置,所以比較器正輸入端的電平還是大于零,但音頻信號(hào)幅度高于三角 波幅度的時(shí)間卻大為減少,方波占空比小于1:1。這樣,比較器輸出的波形就是一個(gè)脈沖寬度被音頻信號(hào)幅度調(diào)制后的波形,稱為PWM( Pulse Width Modulation脈寬調(diào)制)或PDM (PulseDuration Modulation脈沖持續(xù)時(shí)間調(diào)制)波形。音頻信息被調(diào)制到脈沖波形中,脈沖波形的 寬度與輸入的音頻信號(hào)的幅度成正比。第二部分為脈沖控制的大電流開(kāi)關(guān)放大器。它的

6、作用是把比較器輸出的PWM信號(hào)變成高電壓、大電流的大功率PWM信號(hào)。能夠輸出的最大功率由負(fù)載、電源電壓和晶體管允許PWM波形中的聲音信息 信號(hào)中的交流成份,取出PWM信號(hào)中的RC結(jié)構(gòu)的低通濾波器電阻會(huì)耗能,1: 1的脈沖到來(lái)時(shí),C的充電時(shí)間大于 輸出電平下降,正好與原音頻信號(hào) D類功放的工作原理見(jiàn)圖3.23。流過(guò)的電流來(lái)決定。第三部分為由LC網(wǎng)絡(luò)構(gòu)成的低通濾波器。其作用是將大功率 還原出來(lái)。利用一個(gè)低通濾波器,可以濾除PWM平均值,該平均值即為音頻信號(hào)。但由于此時(shí)電流很大, 不能采用,必須使用 LC低通濾波器。當(dāng)占空比大于 放電時(shí)間,輸出電平上升;窄脈沖到來(lái)時(shí),放電時(shí)間長(zhǎng), 的幅度變化相一致,

7、所以原音頻信號(hào)被恢復(fù)出來(lái)。PWM信號(hào)PWM調(diào)制模擬音頻輸入Of比較p-LpU吋原音頻信號(hào)波形比較器上的兩個(gè)波形三角波發(fā)生器比較器輸出 的PWM信號(hào)LPF后的恢復(fù)信號(hào)波形(a)原理簡(jiǎn)圖(b)工作波形圖3.23 D類功放原理圖對(duì)于數(shù)字音頻信號(hào)輸入時(shí),經(jīng)數(shù)字內(nèi)插濾波器和等比特調(diào)制器后,即可得到脈沖寬度與數(shù)字音頻的采樣點(diǎn)數(shù)據(jù)成正比的PWM信號(hào)。其中數(shù)字內(nèi)插濾波器是在數(shù)字音頻信號(hào)的數(shù)據(jù)之間再插入一些相關(guān)聯(lián)的數(shù)據(jù),以內(nèi)插方式提高數(shù)字音頻信號(hào)的采樣點(diǎn)數(shù)(采樣頻率),等 比特調(diào)制器是將數(shù)字信號(hào)的數(shù)據(jù)大小轉(zhuǎn)換為脈沖的寬度,使輸出信號(hào)的脈沖寬度與輸入數(shù)據(jù) 的大小成正比。3 . D類功放的要求(1) 對(duì)功率管的要求

8、。 D類功放的功率管要有較快的開(kāi)關(guān)響應(yīng)和較小的飽和壓降。D類功放設(shè)計(jì)考慮的角度與AB類功放完全不同。此時(shí)功放管的線性已沒(méi)有太大意義,更重要的現(xiàn)在小電流控制大電流的MOSFET是開(kāi)關(guān)響應(yīng)和飽和壓降。由于功放管處理的脈沖頻率是音頻信號(hào)的幾十倍,且要求保持良好 的脈沖前后沿,所以管子的開(kāi)關(guān)響應(yīng)要好。另外,整機(jī)的效率全在于管子飽和壓降引起的管 耗。所以,管子的飽和壓降小不但效率高,且功放管的散熱結(jié)構(gòu)也能得到簡(jiǎn)化。若干年前, 這種高頻大功率管的價(jià)格昂貴,限制了 D類功放的發(fā)展,已在Hi-Fi功放上得到廣泛應(yīng)用。D類功放的一個(gè)特殊環(huán)節(jié),要把20kHz200kHz (三角波的頻率應(yīng)在音頻信THD (總諧波失

9、真)標(biāo)準(zhǔn),則對(duì)(2)對(duì)PWM調(diào)制電路的要求。PWM調(diào)制電路也是以下的音頻調(diào)制成 PWM信號(hào),三角波的頻率至少要達(dá)到 號(hào)頻率的1020倍以上)。當(dāng)頻率過(guò)低時(shí)要達(dá)到同樣要求的無(wú)源LC低通濾波器的元件要求就高,結(jié)構(gòu)復(fù)雜。如果三角波的頻率高,輸出波形的鋸齒小, 就能更加接近原波形,使THD小,而且可以用低數(shù)值、 小體積和精度要求相對(duì)差一些的電感和電容來(lái)構(gòu)成低通濾波器,造價(jià)相應(yīng)降低。但是,晶體管的開(kāi)關(guān)損耗會(huì)隨頻率的上升而上升, 無(wú)源器件中的高頻損耗、射頻的聚膚效應(yīng)都會(huì)使整機(jī)效率下降。更高的調(diào)制頻率還會(huì)出現(xiàn)射 頻干擾,所以調(diào)制頻率也不能高于1MHz。而在實(shí)際的中小功率 D類數(shù)字功放中,當(dāng)三角波的頻率達(dá)到

10、500kHz以上時(shí),也可以直接由揚(yáng)聲器的音圈所呈現(xiàn)的電感來(lái)還原音頻信號(hào),而 不用另外的LC低通濾波器。另外在PWM調(diào)制器中,還要注意到調(diào)制用的三角波的形狀要好、頻率的準(zhǔn)確性要高、 時(shí)鐘信號(hào)的抖晃率要低,這些參數(shù)都會(huì)影響到后面輸出端由LPF所復(fù)原的音頻信號(hào)的波形是否與輸入端的原音頻信號(hào)的波形完全相同,否則會(huì)使兩者有差異而產(chǎn)生失真。(3) 對(duì)低通濾波器的要求。位于驅(qū)動(dòng)輸出端與負(fù)載之間的無(wú)源LC低通濾波器也是對(duì)音質(zhì)有重大影響的一個(gè)重要因數(shù)。該低通濾波器工作在大電流下,負(fù)載就是音箱。嚴(yán)格地講, 設(shè)計(jì)時(shí)應(yīng)把音箱阻抗的變化一起考慮進(jìn)去,但作為一個(gè)功放產(chǎn)品指定音箱是行不通的,所以 D類功放與音箱的搭配中更有

11、發(fā)燒友馳騁的天地。實(shí)際證明,當(dāng)失真要求在0.5%以下時(shí),用一般應(yīng)用的D類功放已有集成電路芯片, 用戶只近年來(lái),D類功率放大器在電路上必須要有過(guò)電流保護(hù)及過(guò)熱保護(hù)。 或功率放大器所必備,否則將造成安全問(wèn)題,甚至傷及為其二階Butterworth最平坦響應(yīng)低通濾波器就能達(dá)到要求。如要求更高則需用四階濾波器,這時(shí) 成本和匹配等問(wèn)題都必須加以考慮。 需按要求設(shè)計(jì)低通濾波器即可。(4)D類功放的電路保護(hù)。 此二項(xiàng)保護(hù)電路為 D類功率IC供電的電源器件或整個(gè)系統(tǒng)。過(guò)電流保護(hù)或負(fù)載短路保護(hù)的簡(jiǎn)單測(cè)試方法:可將任一輸出端 與電源端(Vcc)或地端(Ground)短路,在此狀況下短路保護(hù)電路應(yīng)被啟動(dòng)而將輸出晶體

12、管關(guān)掉,此時(shí)將沒(méi)有信號(hào)驅(qū)動(dòng)喇叭而沒(méi)有聲音輸出。由于輸出短路是屬于一種嚴(yán)重的異?,F(xiàn)象,在短路之后要回到正常的操作狀態(tài)必需重置(Reset)放大器,有些IC則可在某一延遲(Delay )時(shí)間后自動(dòng)恢復(fù)。至于過(guò)熱保護(hù),其保護(hù)溫度通常設(shè)定在150°160°C,過(guò)熱后IC類功率放大器所沒(méi)有的EMID類功率放大器的功率晶D類功放對(duì)電源質(zhì)量更 最好用環(huán)牛變壓器自動(dòng)關(guān)掉輸出晶體管而不再送出信號(hào),待溫度下降20°C30C之后自動(dòng)回復(fù)到正常操作狀態(tài)。(5)D類功放的電磁干擾。D類功率放大器必須要解決AB(Electro Magnetic Interferenee,電磁干擾)問(wèn)題。電磁

13、干擾是由于 體管以開(kāi)關(guān)方式工作,在高速開(kāi)關(guān)及大電流的狀況下所產(chǎn)生的。所以 為敏感。電源在提供快速變化的電流時(shí)不應(yīng)產(chǎn)生振鈴波形或使電壓變化,供電,或用開(kāi)關(guān)電源供電。此外解決EMI的方案是使用LC電源濾波器或磁珠(bead)濾波器以過(guò)濾其高頻諧波。中高功率的D類功率放大器因?yàn)?EMI太強(qiáng)目前采用LC濾波器來(lái)解決, 小功率則用Bead處理即可,但通常還要配合PCB版圖設(shè)計(jì)及零件的擺設(shè)位置。比如,采用D類放大器后,D類放大器接揚(yáng)聲器的線路不能太長(zhǎng),因?yàn)樵谠摼€路中都攜帶著高頻大電流,其作用猶如一個(gè)天線輻射著高頻電磁信號(hào)。有些D類放大器的接線長(zhǎng)度僅可支持2cm,做得10cm。好的D類放大器則可支持到3.3

14、.2 D類功放實(shí)例下面以荷蘭飛利浦公司生產(chǎn)的TDA8922功放芯片為例,對(duì) D類功放電路進(jìn)行介紹。2 X25W。具TDA8922是雙聲道、低損耗的 D類音頻數(shù)字功率放大器,它的輸出功率為有如下特點(diǎn):效率高(可達(dá) 90%),工作電壓范圍寬(電源供電±12.5V±30V),靜態(tài)電流?。ㄗ畲箪o流不超過(guò) 75mA),失真低,可用于雙聲道立體聲系統(tǒng)的放大(SE接法,Single-Ended)或單聲道系統(tǒng)的放大(BTL接法,Bridge-Tied Load ),雙聲道SE接法的固定增益為 30dB , 單聲道BTL接法的固定增益為 36dB,輸出功率高(典型應(yīng)用時(shí)2X25W),濾波效果

15、好,內(nèi)部的開(kāi)關(guān)振蕩頻率由外接元件確定(典型應(yīng)用為350kHz ),并具有開(kāi)關(guān)通斷的“咔嗒/噼噗”噪聲抑制,負(fù)載短路的過(guò)流保護(hù),靜電放電保護(hù),芯片過(guò)熱保護(hù)等功能。廣泛應(yīng)用于平板電 視、汽車音響、多媒體音響系統(tǒng)和家用高保真音響設(shè)備等。1 .內(nèi)部結(jié)構(gòu)與引腳功能TDA8922的內(nèi)部結(jié)構(gòu)如 圖3.24所示,包含兩個(gè)獨(dú)立的信號(hào)通道和這兩個(gè)通道共用的振蕩 器與過(guò)熱、過(guò)流保護(hù)及公共偏置電路。每個(gè)信號(hào)通道主要包括脈寬調(diào)制和功率開(kāi)關(guān)放大兩個(gè) 部分。DCF圖3.24TDA8922內(nèi)部結(jié)構(gòu)(1 )脈寬調(diào)制。輸入的模擬音頻信號(hào)經(jīng)電壓放大后,與固定頻率的三角波相比較,全部 音頻信息被調(diào)制在 PWM信號(hào)的寬度變化中。三角波

16、的產(chǎn)生由壓控振蕩器實(shí)現(xiàn),三角波的頻 率由7腳外接的RC定時(shí)元件確定。比較器是一個(gè)帶鎖相環(huán)的脈寬調(diào)制電路,調(diào)制后的電路 與功率輸出級(jí)的門控電路相連,地線被連接到公共地端。當(dāng)音頻信號(hào)幅度大于三角波信號(hào)幅 度時(shí),比較器輸出高電平,反之,比較器輸出低電平。PWM信號(hào)是一個(gè)數(shù)字脈沖信號(hào),其脈寬的變化反映音頻信號(hào)的全部信息。脈沖信號(hào)的高、低電平控制兩組功率管的通/斷,高/低兩值之間的轉(zhuǎn)換速度決定兩組功率管之間的通/斷的轉(zhuǎn)換時(shí)間。電路中采用觸發(fā)器來(lái)調(diào)整比較器輸出的波形,通過(guò)快速轉(zhuǎn)換使輸出波形得到明顯的改善。(2 )功率開(kāi)關(guān)放大。功率開(kāi)關(guān)放大部分由門控電路、高電平與低電平驅(qū)動(dòng)電路、 MOSFET功率管所組成。

17、門控電路用于輸出級(jí)的功率開(kāi)關(guān)管在開(kāi)關(guān)工作時(shí)的死區(qū)校正,防止兩個(gè) MOSFET管在交替導(dǎo)通的瞬間的穿透電流所引起的無(wú)用功耗,因?yàn)樵诟哳l開(kāi)關(guān)工作時(shí),需要 分別將兩個(gè)MOSFET管的截止時(shí)間提前而將導(dǎo)通時(shí)間滯后,防止兩個(gè)管子在交替導(dǎo)通的瞬間同時(shí)導(dǎo)通而產(chǎn)生貫通電流,這一貫通電流是從正電源到負(fù)電源直通而不流向負(fù)載的。PWM信號(hào)控制著MOSFET功率管的通/斷,驅(qū)動(dòng)揚(yáng)聲器發(fā)聲。開(kāi)關(guān)功率管集成在數(shù)字功率IC內(nèi),有利于縮小整個(gè)功放的體積,降低成本,提高產(chǎn)品競(jìng)爭(zhēng)力。在輸出端與高電平驅(qū)動(dòng)器之間接有 自舉電容,用于提高在上管導(dǎo)通期間的高電平驅(qū)動(dòng)器送到上管柵極的驅(qū)動(dòng)電平,保證上管能 夠充分導(dǎo)通。(3)工作模式選擇與過(guò)

18、熱過(guò)流保護(hù)電路。TDA8922芯片中除了每個(gè)聲道中的脈寬調(diào)制與功率開(kāi)關(guān)放大電路外,還有工作模式選擇與過(guò)熱保護(hù)與過(guò)流保護(hù)。6腳為工作模式選擇端,當(dāng) 6腳外接5V電源時(shí)為正常工作模式,此時(shí)D類功放各電路正常工作;當(dāng)6腳接地(0V )時(shí)為待機(jī)狀態(tài),此時(shí)芯片內(nèi)的主電源被切斷,主要電路都不工6腳電平為電源電壓的一半(約作,整機(jī)靜態(tài)電流極小;當(dāng)6腳電平為電源電壓的一半(約2.5V )時(shí)為靜音狀態(tài),此時(shí)各電路都處于工作狀態(tài),但輸入級(jí)音頻電壓放大器的輸出被靜音,無(wú)信號(hào)輸送到揚(yáng)聲器而無(wú)聲。過(guò)熱保護(hù)與過(guò)流保護(hù)是通過(guò)芯片溫度檢測(cè)和輸出電流檢測(cè)來(lái)實(shí)現(xiàn)的。當(dāng)溫度傳感器檢測(cè) 到芯片溫度150 oC時(shí),則過(guò)熱保護(hù)電路動(dòng)作,

19、將MOSFET功放級(jí)立即關(guān)閉;當(dāng)溫度下降至?xí)? Q內(nèi)關(guān)閉功率級(jí),輸出的短路電流被開(kāi)關(guān)切斷, 毫秒系統(tǒng)會(huì)試圖重新啟動(dòng)一次, 如果負(fù)載仍然短路,除過(guò)熱過(guò)流保護(hù)外,芯片內(nèi)還有電源電壓檢測(cè)電路, 壓保護(hù)電路被激活而使系統(tǒng)關(guān)閉;如果電源電壓超過(guò)±約130 oC時(shí),功放級(jí)將重新開(kāi)始切換至工作狀態(tài)。如果功放輸出端的任一線路短路,則功放 輸出的過(guò)大電流會(huì)被過(guò)流檢測(cè)電路所檢出,當(dāng)輸出電流超過(guò)最大輸出電流4A時(shí),保護(hù)系統(tǒng)這種狀態(tài)的功耗極低。其后,每隔100該系統(tǒng)會(huì)再次立即關(guān)閉輸出電流的通路。如果電源電壓低于±12.5伏,則欠32伏,則過(guò)壓保護(hù)電路會(huì)啟動(dòng)而關(guān)閉功率級(jí)。當(dāng)電源電壓恢復(fù)正常范圍(&

20、#177;12.5V ± 32V )時(shí),系統(tǒng)會(huì)重新啟動(dòng)。(4)輸出濾波器。輸出濾波器的用途是濾除PWM信號(hào)中的高頻開(kāi)關(guān)信號(hào)和電磁干擾信號(hào),降低總諧波失真。LPF參數(shù)的選擇與系統(tǒng)的頻率響應(yīng)和濾波器的類型有關(guān)。音頻信號(hào) 的頻率在20Hz20 kHz,而開(kāi)關(guān)脈沖信號(hào)和電磁干擾信號(hào)的頻率都遠(yuǎn)大于音頻信號(hào)頻率,因 此LPF所用的LC元件參數(shù),可選擇在音頻通帶內(nèi)具有平坦特性的低通濾波器。TDA8922包含兩個(gè)獨(dú)立的功率放大通道,這兩個(gè)獨(dú)立的通道可接成立體聲模式,也可接 成單聲道模式。立體聲模式采用SE (Single-Ended )接法,如圖3.24所示,L、R輸入的模擬音頻信號(hào)分別送入各自聲道

21、的輸入端,L、R揚(yáng)聲器分別接在各自聲道輸出端的LPF上,從而構(gòu)成立體聲放音系統(tǒng);單聲道模式采用平衡橋式( BTL )接法,如圖3.25所示,此時(shí)兩 個(gè)通道的輸入信號(hào)的相位相反,揚(yáng)聲器直接跨接在兩個(gè)通道的輸出端,此時(shí)揚(yáng)聲器獲得的功 率可增加一倍(6dB )。IN1+r>(Nl-4IN24.(N2-X圖3.25TDA8922用于單聲道的 BTL接法TDA8922TH各引腳的功能如表3.2所示。表3.2TDA8922各引腳功能引 腳符號(hào)功能1VSSA2通道2模擬電路的負(fù)電源供電端引 腳符號(hào)功能13P ROT保護(hù)電路用的外接時(shí)間常數(shù)電容2SGND2通道2的信號(hào)接地端3VDDA2通道2模擬電路的正

22、電源供電端4IN2 -通道2音頻輸入負(fù)端5IN2 +通道2音頻輸入正端6MODE工作模式選擇:待機(jī)、靜音、正常工作7OSC振蕩器頻率調(diào)整或跟蹤輸入8IN1 +通道2音頻輸入正端9IN1 -通道2音頻輸入負(fù)端10VDDA1通道1模擬電路的正電源供電端11SGND1通道1的信號(hào)接地端12VSSA1通道1模擬電路的負(fù)電源供電端14VDDP1通道1功率輸出級(jí)開(kāi)關(guān)電路的正電 源供電端15B00T1通道1自舉電容16OUT1通道1的PWM信號(hào)輸出端17VSSP1通道1功率輸出級(jí)開(kāi)關(guān)電路的負(fù)電 源供電端18STABI內(nèi)部偏置穩(wěn)壓器的外接濾波電容端19HW芯片連接到VSSD引腳20VSSP2通道2功率輸出級(jí)開(kāi)關(guān)電路的負(fù)電 源供電端21OUT2通道2的PWM信號(hào)輸出端22BOOT2通道2自舉電容23V DDP2通道2功率輸出級(jí)開(kāi)關(guān)電路的正電 源供電端24Vssd數(shù)字電路的負(fù)電源供電端2 .典型應(yīng)用電路TDA8922的典型應(yīng)用電路如圖3.26所示。_ot naQ liEwF HiC kflliDfiF Nfr liHhAB 即 Ul r -=1_IIFCC1E斗72pT. P Cl?11HUU'L:HAU3§Dr r ft4-t±t

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論