實(shí)驗(yàn)五全加器的設(shè)計(jì)與應(yīng)用_第1頁
實(shí)驗(yàn)五全加器的設(shè)計(jì)與應(yīng)用_第2頁
實(shí)驗(yàn)五全加器的設(shè)計(jì)與應(yīng)用_第3頁
實(shí)驗(yàn)五全加器的設(shè)計(jì)與應(yīng)用_第4頁
實(shí)驗(yàn)五全加器的設(shè)計(jì)與應(yīng)用_第5頁
免費(fèi)預(yù)覽已結(jié)束,剩余1頁可下載查看

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、實(shí)驗(yàn)五全加器的設(shè)計(jì)及應(yīng)用一、實(shí)驗(yàn)?zāi)康?1)進(jìn)一步加深組和電路的設(shè)計(jì)方法。(2)會(huì)用真值表設(shè)計(jì)半加器和全加器電路,驗(yàn)證其邏輯功能。(3)掌握用數(shù)據(jù)選擇器和譯碼器設(shè)計(jì)全加器的方法。二、預(yù)習(xí)要求(1)根據(jù)表5-1利用與非門設(shè)計(jì)半加器電路。(2)根據(jù)表5-2利用異或門及與非門設(shè)計(jì)全加器電路。三、實(shí)驗(yàn)器材(1)實(shí)驗(yàn)儀器:數(shù)字電路實(shí)驗(yàn)箱、萬用表;(2)實(shí)驗(yàn)器件:74LS04 74LS08 74LS20 74LS32 74LS86 74LS138 74LS153;四、實(shí)驗(yàn)原理1.半加器及全加器電子數(shù)字計(jì)算機(jī)最基本的任務(wù)之一就是進(jìn)行算術(shù)運(yùn)算,在機(jī)器中的四則運(yùn) 一一加、減、乘、除都是分解成加法運(yùn)算進(jìn)行的,因此加

2、法器便成了計(jì)算機(jī) 中最基本的運(yùn)算單元。(1)半加器只考慮了兩個(gè)加數(shù)本身,而沒有考慮由低位來的進(jìn)位(或者把低位來的進(jìn) 位看成0),稱為半加,完成半加功能的電路為半加器??驁D如圖 5-1所示 位半加器的真值表如表5-1所示。表5-1半加器真值表AiBCiSCi00000010101001011000和數(shù)S C向高位進(jìn)位t_L1位半加器加數(shù)A Bi被加數(shù)圖5-1 半加器框圖 由真值表寫邏輯表達(dá)式:Si A Bi AiBi A Bi G A Bi畫出邏輯圖,如圖5-2所示:(a)邏輯圖(b)邏輯符號(hào)圖5-2 半加器(2)全加器能進(jìn)行加數(shù)、被加數(shù)和低位來的進(jìn)位信號(hào)相加,稱為全加,完成全加功能的電路為全加

3、器。根據(jù)求和結(jié)果給出該位的進(jìn)位信號(hào)。即一位全加器有 3個(gè)輸 入端:A (被加數(shù))、Bi (加數(shù))、Ci 1 (低位向本位的進(jìn)位);2個(gè)輸出端:Si (和數(shù))、G (向高位的進(jìn)位)。下面給出了用基本門電路實(shí)現(xiàn)全加器的設(shè)計(jì)過程。1)列出真值表,如表5-2所小。從表5-2中看出,全加器中包含著半加器,當(dāng)Ci 10時(shí),不考慮低位來的表5-2全加器真值表AiBiCi 1SiCi0 0 0000 1 010半加器1 0 01 01 1 00 1全加器0 0 11 00 1 1011 0 1011 1 111J進(jìn)位,就是半加器。而在全加器中 G 1是個(gè)變量,其值可為0或12)畫出Si、Ci的卡諾圖,如圖5-

4、3所示01011010Ai 00011110(a)Si圖5-33)由卡諾圖寫出邏輯表達(dá)式:全加器的卡諾圖SiAiBiCi 1ABiG J AiBJCi J ABiG 1(Ai Bi)C(ABi)C(AiBi) Ci 1 (AiBi )Ci 1A Bi CiCi AC M ABi BiGA Bi (A B)G-1 如用代數(shù)法寫表達(dá)式得:Si m(1,2,4,7) AiBiCi 1G m(3,5,6,7) A BG J ABiG 1ABiC (ABi )G 1 ABi即:AiBiCmCiABi(Ai Bi)G-14)畫出邏輯圖,如圖5-4 (a)所示;圖5-4 (b)是全加器的邏輯符號(hào)(a)邏輯圖

5、(b)邏輯符號(hào)圖5-4全加器五、實(shí)驗(yàn)內(nèi)容1 .利用異或門及與非門實(shí)現(xiàn)一位全加器,并驗(yàn)證其功能 答:邏輯電路圖如下:2 .試用全加器實(shí)現(xiàn)四位二進(jìn)制全減器。3 .試用一片四位二進(jìn)制全加器將一位 8421BC則轉(zhuǎn)換成余3碼,畫出電路圖, 并測試其功能。4 .試用一片38線譯碼器及四輸入與非門設(shè)計(jì)一位全加器,要求電路最簡, 畫出設(shè)計(jì)電路圖,并測試其功能。5 .試用74LS86組成二個(gè)四位二進(jìn)制數(shù)的比較電路,要求兩數(shù)相等時(shí)其輸出為 “1” ,反之為“ 0”。a.2Y工 1ST6 .試用雙四選一數(shù)據(jù)選擇器和與非門分別構(gòu)成全加器及全減器,寫出表達(dá)式, 畫出邏輯圖,要求電路最簡,并測試其功能。74LS153入2STID. IQ IC. ID. 2D, 2D. 2D 2D.*1六、實(shí)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論