Allegro中導出Gerber文件和鉆孔數據文件方法步驟_第1頁
Allegro中導出Gerber文件和鉆孔數據文件方法步驟_第2頁
Allegro中導出Gerber文件和鉆孔數據文件方法步驟_第3頁
Allegro中導出Gerber文件和鉆孔數據文件方法步驟_第4頁
Allegro中導出Gerber文件和鉆孔數據文件方法步驟_第5頁
已閱讀5頁,還剩26頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、Allegro中導出Gerber文件和鉆孔數據文件方法步驟(轉)allegro資料 2010-02-24 20:07:08 閱讀1041 評論0 字號:大中小訂閱 Allegro中導出Gerber文件和鉆孔數據文件方法步驟 什么是Gerber文件Gerber文件是所有電路設計軟件都可以產生的文件,在電子組裝行業(yè)又稱為模版文件(stencil data),在PCB制造業(yè)又稱為光繪文件??梢哉fGerber文件是電子組裝業(yè)中最通用最廣泛的文件格式。Gerber文件是EIA的標準格式,分RS274-D和RS274-X兩種,其中RS274-X是RS274-D的擴展文件。生產制造部門在條件許可的情況下,應

2、當盡可能要求用戶或設計部門提供RS274-X的Gerber文件,這樣有利于各工序的生產準備。一、準備工作為了保證出片的正確性,需要在設計PCB 文件之前對一些系統(tǒng)參數進行設置,該設置包括畫圖的精度,圖片的尺寸,動態(tài)鋪銅的格式。設置畫圖的精度。在allegro 中打開Setup-Drawing Size 菜單,調出設置對話框,如圖1在對話框中確定User Units選擇Mils,Size選擇C,這樣整個作圖區(qū)域會大一點,相應的作圖范圍(Drawing Extents)變?yōu)閃idth:22000.00;Height:17000.00Left X和Left Y為原點坐標。Accuracy 選擇2,其

3、他根據你的尺寸自行定義。設置完成選擇OK 按鈕,使配置生效。設置動態(tài)鋪銅參數。在Allegro 中打開Shape-Global Dynamic Params 菜單,如圖2。Dynamic fill選Smooth Smooth 勾選后會自動填充、挖空。運行DRC時,在所有的動態(tài)shape中,產生底片輸出效果的Shape外形 Rough 產生自動挖空的效果,不過只是大體的外形樣子,沒有產生底片輸出效果 Disable 不執(zhí)行填充、挖空。打開Void controls 選項卡。如圖3。選擇Artwork format 要與出片格式一致。現在基本上PCB廠都是采用RS274-X。選擇Artwork f

4、ormat 和你的出片格式一致二、出片設置基本參數設置1) 選擇菜單ManufactureArtwork,出現ArtworkControl Form 對話框,如下:2) 選擇General Parameters,開始具體參數設定Device type底片生成格式:選Gerber RS274XFilm size limits底片稿圖形范圍:用默認值就可以了Coordinate type坐標類型:用默認值AbsoluteError action指定錯誤發(fā)生時處理方式: 選擇Abort film 只停止轉換這層的Gerber 文件,繼續(xù)轉換其它層的Gerber 文件。 選擇Abort all 則停止

5、后不再處理其它的Gerber 文件。錯誤情況,將會被記錄到photoplot.log 文件中。Format數據格式: Integer places:5 5 位整數Decimal Places:3 3 位小數Output options :選用默認值Suppress:可選用默認值或都不選 Leading zeros:表示前省零。 Trailing zeros:表示后省零。 Equal coordinates:簡化相同的坐標。輸出單位Output units :一般用InchesScale factor for output:輸出Gerber 文件的比例。單擊“Artwork Control Fi

6、lm”對話框的“OK”按鈕,關閉此對話框。相關參數設置將被寫入工作目錄的art_param.txt 文件中。若要查看art_param.txt,可在工作目錄下直接打開。執(zhí)行Artwork時經常會出現兩個兩個警告:警告一:這個警告是提示Artwork里面的底片格式與動態(tài)Shape里面底片格式參數設置不一致,只要把動態(tài)Shape里面的Artwork format與底片參數的Device Type一致就可以了:警告二在“Artwork Control Film” 對話框的“General Parameters” 頁面中選擇“Devicetype” 為PCB 行業(yè)較為通用的“Gerber RS274X

7、”格式,可能會出現提示信息,點擊“OK”按鈕。然后根據文件的精度進行設置Integer Places、Decimal Places。三、建立底片控制文件:在主菜單中選擇ManufactureArtwork 命令,彈出“Artwork Control Film” 對話框,選擇“Film Control”頁面,如圖4 所示。Film name底片稿名稱:顯示當前選中的底片稿名稱Rotation指底片的旋轉角度和Offset X/Y坐標數據與指定原點偏移值:一般使用默認值0Undefined line width 0線寬定義值,也就是PCB上有些0線寬的線段在轉成底片時線寬:一般可以5(mil)Sh

8、ape bounding box板子Outline外擴的隔離線:一般使用100(mil)表示板邊周圍的隔離線(Anti etch), 由Outline 的中心線往外擴100mil(只有負片才有用)只針對負片有用底片輸出模式Plot mode: Positive:正片;Negative:負片信號層面一般都用Positive,電源,地層面一般使用Negative。Film mirrored底片稿鏡像:一般情況不需要鏡像Full Contact Thermal-Reliefs忽略Thermal 采用全連接:這個選項只針對負片有用,是讓連接Plane層面的所有Pin腳都用全連接方式與Plane層面連接

9、,Pad的Thermal-Relief無效,如果板子上的via過孔沒有設計Flash Symbol的話,勾不勾選此項,都是full Contact.Suppress unconnected pads去除未連接的焊盤:一般內層走線層可使用Vector based pad behavior:此項默認選擇。對于Raster-based 數據,若不選擇此項,那么負片轉出的隔離盤為被此處的孔掏空的樣式。 選擇“Vector based pad behavior”轉出的負片不選擇“Vector based pad behavior”轉出的負片在底片上單擊右鍵,彈出菜單,如果需要增加底片,選擇彈出菜單中的A

10、dd,出現圖8 的對話框。輸入底片的名字,就可以新建一個底片。如果需要刪除一個底片,則在彈出的菜單中點擊cut,則該底片就被刪除了。下面開始向底片中設置層。展開某一個底片左邊的+,查看該底片包含的層鼠標右鍵單擊某一層,可以看到彈出菜單如果需要加入信號層,選擇彈出菜單的Add 選項,出現選擇窗口,你可以在Subclass Selection 窗口選擇需要的層,用鼠標勾選需要加入的層,點擊OK 按鈕,就可以將該層加入對應的底片中。如果需要刪除某一層,僅需要在圖10 的鼠標右鍵菜單中選擇Cut 選項,則該層會從底片中消失。待所有的底片設置完成,我們準備出片。光繪文件包括下面的文件: 光圈表及光繪格式

11、文件 art_aper.txt Aperture and artwork format 光繪參數文件 art_param.txt Aperture parameter text 頂層布線層 Gerber 文件 top.art Top(comp.)side artwork 內部層布線層 Gerber 文件 inner.art Inner layer artwork 內部電源層 Gerber 文件 vcc.art Vcc layer artwork 內部地層 Gerber 文件 gnd.art Gnd layer artwork 底層布線層 Gerber 文件 bot.art Bottom(sol

12、der) side artwork 8. 頂層絲印層 Gerber 文件 topsilk.art Top(comp.)side silkscreen artwork 底層絲印層 Gerber文件 botsilk.art Bottom(solder) side silkscreen artwork 頂層阻焊層 Gerber 文件 topsold.art Top(comp.) side solder mask artwork 底層阻焊層 Gerber 文件 botsold.art Bottom(solder) side solder mask artwork .鉆孔和尺寸標注文件 drill.ar

13、t 鉆帶文件 ncdrill1.tap 下面的兩層如果不是要經過回流焊的話,通常不要: 頂層焊接層(錫膏鋼網層) Gerber 文件 toppast.art Top(comp.) side paste mask artwork 底層焊接層(錫膏鋼網層) Gerber 文件 botpast.art Bottom side paste mask artwork (a)TOP:BOARD GEOMETRY/OUTLINEVIA CLASS/TOPPIN/TOPETCH/TOP(b) GND:BOARD GEOMETRY/OUTLINEVIA CLASS/GNDPIN/GNDETCH/GND(c) I

14、NTERNAL1:BOARD GEOMETRY/OUTLINEVIA CLASS/INTERNAL1PIN/INTERNAL1ETCH/INTERNAL1(d) INTERNAL2:BOARD GEOMETRY/OUTLINEVIA CLASS/INTERNAL2PIN/INTERNAL2ETCH/INTERNAL2(e)VCC:BOARD GEOMETRY/OUTLINEVIA CLASS/VCCPIN/VCCETCH/VCC(f)BOTTOM:BOARD GEOMETRY/OUTLINE VIA CLASS/BOTTOM PACKAGE PIN/BOTTOM BOARDETCH/BOTTO

15、M BOARD (g) SILKSCREEN_TOP:REF DES/SILKSCREEN_TOPPACKAGE GEOMETRY/SILKSCREEN_TOPBOARD GEOMETRY/SILKSCREEN_TOPBOARD GEOMETRY/OUTLINE(h) SILKSCREEN_BOTTOM:REF DES/SILKSCREEN_BOTTOM GEOMETRY/SILKSCREEN_BOTTOM GEOMETRY/SILKSCREEN_BOTTOMGEOMETRY/OUTLINE(i)SOLDERMASK_TOP:VIA CLASS/SOLDERMASK_TOPPIN/ SOLDE

16、RMASK_TOPPACKAGE GEOMETRY/ SOLDERMASK_TOPBOARD GEOMETRY/ SOLDERMASK_TOPBOARD GEOMETRY/OUTLINE(j)SOLDERMASK_BOTTOM:VIA CLASS/SOLDERMASK_BOTTOMPIN/SOLDERMASK_BOTTOMPACKAGE GEOMETRY/OLDERMASK_BOTTOMBOARD GEOMETRY/SOLDERMASK_BOTTOMBOARD GEOMETRY/OUTLINE四、生成底片文件1. DRC Check每個板子在出Gerber之前,必須先Run DRC以確保板子不

17、存在致命錯誤。1) 執(zhí)行菜單SetupDrawing Options,彈出如下對話框2) 先檢查動態(tài)Shape 如果Update to Smooth是灰色的,則已OK,否則要選擇Update to Smooth按鈕執(zhí)行該命令。3) 再選擇Update DRC按鈕執(zhí)行命令,檢查Unplaced symbols等欄位前是否都已綠色:,如果有任何一個欄位顯示的是*:,則必須對這一欄位進行確認。2. Datasheet Check 在Film Control左下方有一個check database before artwork,選擇出底片前做一次datasheet檢查,如果有檢查到error,相應的那

18、張底片將無法生成,所以在出底片前最后先執(zhí)行菜單ToolsDatabase check,將出現的問題解決掉。3. Create Artwork 在Available films下選擇要輸出的films,點擊Create Artwork按鈕執(zhí)行命令產生.art后綴的artwork files。點擊Viewlog按鈕,查看photoplotlog文件,確保所以底片文件被準確的建立。點擊Viewlog,可以看到出Gerber過程中出現的警告和錯誤1. “- Photoplot outline rectangle not found . using drawing extents“說你沒有畫photop

19、lot outline,軟件自動幫你用drawing extents代替了;-沒關系EDA365論壇網+ / O# R. U& 2. “for raster artwork formats, artwork accuracy must be at least one place greater than the database accuracy.精度設置警告:軟件里出gerber時的精度(小數點后位數)應比在設計數據中的高出至少3;-修改后此警告消失.3. “0 width line found at (0.5000 0.0000) . using undefined line width

20、of 0.2500“ 這個警告講得應該聽清楚地了吧!-看看(0.5000 0.000)處的這根線是否和自己想要得有出入,若有修改即可;EDA365論壇網站|PCB論壇|PCB layout論壇|SI仿真技術論壇! W% C+ a* r: t4. “Segment with same start and end points at (69.7822 34.5562) will be ignored. Increasing output accuracy may allow segment to be generated.“ 估計還是精度設置的緣故。說的是軟件自動忽略一段起點和終點相同的部分(69

21、.7822 34.5562)E五、Allegro 生成鉆孔數據的方法a) 自動生成Drill Symbol 會出來”Drill Customization”對話框,點擊”Auto generate symbols”,會出來提示框,再點”是(Y)”,點”O(jiān)K”b)出鉆孔符號表為了將來鉆孔的時候做鉆孔檢查,需要出鉆孔符號表。請在allegro 中選擇Manufacture-NC-NC Legend 菜單,然后系統(tǒng)會出現一個列表,單擊鼠標左鍵可以選擇位置將其放置。Template file:鉆孔圖例表格的模板文件,默認為default-mil.dlt。Legend title:鉆孔圖例的名稱,默認為

22、DRILL CHART。Output unit:單位為mil,設置單位應與電路板的設置應一致。Hole sorting method:孔種類的排序方法。By hole size:按孔的大小順序排序。Ascending:升序。Descending:降序。By plating status:按是否金屬化孔排序。Plated first:金屬化孔排在前面。Non-plated first:非金屬化孔排在前面。在工作目錄下可以查看“nclenged.log”文件,以了解制作過程是否存在問題或有其它警告信息,并了解最終的轉換結果。c)定Drill Parameters定鉆孔參數Parameters file:輸出NC 數據的名稱和路徑,默認名為nc_param.txt。Output file:輸出文件。Header:在輸出文件中指定一個或多個ASCII 文件,默認值為none。Leader:指定在數據的引導長度。Code:ASCII/EIA,指定數據的輸出格式,默認為ASCII。Excellon format:鉆孔格式。Format:3.5:輸出NC DRILL 文件中坐標數據的格式。一般英制采用3.5 格式。要與Artwork基本參數設置匹配Offse

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論