十進(jìn)制加減可逆計(jì)數(shù)器的設(shè)計(jì)_第1頁
十進(jìn)制加減可逆計(jì)數(shù)器的設(shè)計(jì)_第2頁
十進(jìn)制加減可逆計(jì)數(shù)器的設(shè)計(jì)_第3頁
十進(jìn)制加減可逆計(jì)數(shù)器的設(shè)計(jì)_第4頁
十進(jìn)制加減可逆計(jì)數(shù)器的設(shè)計(jì)_第5頁
已閱讀5頁,還剩23頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、摘 要計(jì)數(shù)器是數(shù)字電路中最為基本的一個(gè)單元電路。本次基礎(chǔ)強(qiáng)化的目標(biāo)是要我們熟悉常用MSI集成計(jì)數(shù)器的功能和應(yīng)用;掌握利用集成計(jì)數(shù)器構(gòu)成不同功能的計(jì)數(shù)器的設(shè)計(jì)方法;學(xué)會利用EDA軟件(Proteus)對模M的可逆計(jì)數(shù)器電路進(jìn)行仿真;掌握可逆計(jì)數(shù)器電路的安裝及調(diào)試方法。本次課設(shè)報(bào)告先是說明了十進(jìn)制加/減可逆計(jì)數(shù)器的技術(shù)指標(biāo),簡要地陳述了設(shè)計(jì)方案和設(shè)計(jì)思路,然后就對其有關(guān)理論知識作了一些簡要的介紹,然后在性能指標(biāo)分析基礎(chǔ)上進(jìn)行單元電路設(shè)計(jì),設(shè)計(jì)出整體電路圖并且在軟件Proteus中進(jìn)行仿真,最后通過protel做出電路板驗(yàn)證是否達(dá)到技術(shù)要求,總結(jié)課設(shè)體會。關(guān)鍵詞:手動控制,自動控制,計(jì)數(shù)器,加減可逆

2、目錄摘 要 11設(shè)計(jì)任務(wù)與要求 .32設(shè)計(jì)方案及其比較 .32.1 設(shè)計(jì)方案.32.2設(shè)計(jì)思路 .4 .4 .4 .52.3集成電路及元件選擇 .63實(shí)現(xiàn)方案 .63.1 脈沖發(fā)生電路實(shí)現(xiàn)方案 6 .6有關(guān)參數(shù)及計(jì)算 .73.2 加/減/計(jì)數(shù)器控制電路實(shí)現(xiàn)方案 .73.3 自動控制可逆計(jì)數(shù)器實(shí)現(xiàn)方案 .93.4譯碼顯示單元電路設(shè)計(jì)實(shí)現(xiàn)方案 .10譯碼顯示單元電路設(shè)計(jì) 10譯碼器74LS48 .11顯示器LG5011AH .12譯碼顯示電路 133.5 手動脈沖發(fā)生電路實(shí)現(xiàn)方案 .143.6 清零功能實(shí)現(xiàn)方案 .153.7 總原理圖的設(shè)計(jì)實(shí)現(xiàn)方案 .164 Proteus仿真 .175 制版與調(diào)

3、試 .185.1 DXP注意事項(xiàng) .185.2 制作PCB板的流程 195.3 注意事項(xiàng) .195.4 調(diào)試結(jié)果與分析 .196 課設(shè)總結(jié) .20謝辭 .21參考文獻(xiàn) .22附錄 .231設(shè)計(jì)任務(wù)與要求設(shè)計(jì)一個(gè)十進(jìn)制加/減可逆計(jì)數(shù)器。要求:(1)接通電源時(shí)電路能夠自啟動;(2)外部開關(guān)控制清零、啟動和暫停等功能,并實(shí)現(xiàn)加、減計(jì)數(shù),自動加減可逆計(jì)算;(3)用數(shù)碼管顯示計(jì)數(shù)器值。(4)參考元件:74LS00,74LS76,74LS192,74LS48及LED。2設(shè)計(jì)方案及其比較2.1 設(shè)計(jì)方案這里設(shè)計(jì)模為10的十進(jìn)制加/減可逆計(jì)數(shù)功能的電路的設(shè)計(jì)方案主要為如圖2-1所示:數(shù)碼管顯示圖2-1 設(shè)計(jì)方

4、案2.2設(shè)計(jì)思路 因?yàn)?4LS192就已經(jīng)為10進(jìn)制計(jì)數(shù)器,給輸入端CPU輸入脈沖信號就進(jìn)行10進(jìn)制加法計(jì)數(shù)。給輸入端CPD輸入脈沖信號就進(jìn)行10進(jìn)制減法計(jì)算。所以需要解決的問題是如何切換加、減狀態(tài)切換。經(jīng)過分析,應(yīng)該實(shí)現(xiàn)如下表2-1的功能表2-1 自動加、減信號控制脈沖輸入端的方式信號M計(jì)數(shù)方式CPUCPD0加法CLK11減法1CLK這一功能通過一片數(shù)據(jù)選擇器即可實(shí)現(xiàn)。設(shè)計(jì)自控方式的一種加/減可逆計(jì)數(shù)順序如圖2-1所示。 圖2-2 自控計(jì)數(shù)器的計(jì)數(shù)順序從上述圖中可以看出,當(dāng)加計(jì)數(shù)到最大值9后自動進(jìn)行減計(jì)數(shù);當(dāng)減計(jì)數(shù)到最小值0后自動進(jìn)行加計(jì)數(shù),如此不斷循環(huán)。所以要解決的關(guān)鍵問題是:電路如何自動

5、產(chǎn)生加/減計(jì)數(shù)控制信號M。其中的一種設(shè)計(jì)思路如圖2-3所示。CLK圖2-2 自動控制信號產(chǎn)生電路的設(shè)計(jì)思路圖當(dāng)電路不暫停的時(shí)候,計(jì)數(shù)器自動計(jì)數(shù)。當(dāng)按下暫停的時(shí)候,計(jì)數(shù)器停止計(jì)數(shù)。這時(shí)可以手動輸入脈沖,通過分析可得設(shè)計(jì)方案圖如圖2-3所示。暫停信號=1圖2-3手動脈沖設(shè)計(jì)思路圖由圖可以看出當(dāng)暫停按鈕沒有按下的時(shí)候,輸出信號端是由脈沖信號源。當(dāng)暫停按下去的時(shí)候,此時(shí)輸入信號端不受脈沖信號源的影響,而是由手動脈沖來決定了。當(dāng)沒有按下手動脈沖時(shí),輸出信號端為持續(xù)高電平。只有按下手動脈沖按鈕才能產(chǎn)生低電平。所以通過按動手動按鈕就可以實(shí)現(xiàn)手動產(chǎn)生脈沖信號了。2.3集成電路及元件選擇 “脈沖信號產(chǎn)生電路”采

6、用NE555?!凹?減計(jì)數(shù)控制電路”采用一片數(shù)據(jù)分配器74LS138?!凹?減計(jì)數(shù)控制信號自動產(chǎn)生電路”采用集成D觸發(fā)器74LS74和集成門電路74LS00、74LS32。集成計(jì)數(shù)器采用74LS192?!帮@示譯碼電路”采用74LS48。LED數(shù)碼管采用共陰極數(shù)碼管。3實(shí)現(xiàn)方案3.1 脈沖發(fā)生電路實(shí)現(xiàn)方案圖3-1多諧振蕩器電路圖1、理論數(shù)據(jù):多諧振蕩器的振蕩周期T計(jì)算公式為:T = 0.693*( (R1 + 2R2 * C1各參數(shù)的值:R1=100K R2 =22K C=10uf將各參數(shù)的值帶入上面的計(jì)算公式得:T=0.999999999s1s3.2 加/減/計(jì)數(shù)器控制電路實(shí)現(xiàn)方案加/減計(jì)數(shù)控

7、制電路主要由74LS138構(gòu)成。74LS138芯片是常用的3-8線譯碼器,常用在單片機(jī)和數(shù)字電路的譯碼電路中,74LS138的引腳排列如圖3-2所示,真值表如表3-1所示。圖3-2 74LS138的引腳排列圖表3-1 74LS138的真值表由74LS138構(gòu)成的加/減計(jì)數(shù)控制電路如圖3-3所示。圖3-3 加/減計(jì)數(shù)控制電路當(dāng)開關(guān)接到高電平時(shí),A=1,此時(shí)Y1輸出脈沖信號,如果Y1連接著74LS192的CPD端,此時(shí)計(jì)數(shù)器就能進(jìn)行減法計(jì)數(shù)功能。當(dāng)開關(guān)接到低電平時(shí),A=0,此時(shí)Y0輸出脈沖信號,如果Y0連接著74LS192的CPU端,此時(shí)計(jì)數(shù)器就能進(jìn)行加法計(jì)數(shù)功能。3.3 自動控制可逆計(jì)數(shù)器實(shí)現(xiàn)方

8、案計(jì)數(shù)單元電路主要由十進(jìn)制計(jì)數(shù)器74LS192構(gòu)成。74LS192是同步十進(jìn)制可逆計(jì)數(shù)器,它具有雙時(shí)鐘輸入,并具有清除和置數(shù)等功能,其引腳排列圖如圖3-4所示。圖3-4 74LS192的引腳排列圖圖中:為置數(shù)端,為加計(jì)數(shù)端,為減計(jì)數(shù)端,為非同步進(jìn)位輸出端, 為非同步借位輸出端,P0、P1、P2、P3為計(jì)數(shù)器輸入端,為清除端,Q0、Q1、Q2、Q3為數(shù)據(jù)輸出端。其功能表如表3-2所示。表3-2 74LS192的功能表輸入     輸出MRP3P2P1P0Q3Q2Q1Q0 1 × × ×&

9、#215;×××0000 0 0 × ×dcbadcba 0 1  1××××    加計(jì)數(shù) 0 1 1 ××××    減計(jì)數(shù)用74LS192結(jié)合由74LS138組成的加/減控制電路,再加上一個(gè)單刀三擲開關(guān)就構(gòu)成了十進(jìn)制加/減/加減可逆計(jì)數(shù)器的計(jì)數(shù)單元電路,如圖3-5所示。圖3-5 計(jì)數(shù)

10、單元電路原理圖當(dāng)開關(guān)接到反饋電路時(shí),由圖可以看出,當(dāng)觸發(fā)器D=1時(shí),計(jì)數(shù)器到9或者到0時(shí)給D觸發(fā)器一個(gè)高電平,產(chǎn)生的上升沿使D觸發(fā)器置1,并使D=0。當(dāng)觸發(fā)器D=0時(shí),計(jì)數(shù)器到9或者到0時(shí)給D觸發(fā)器一個(gè)高電平,產(chǎn)生的上升沿使D觸發(fā)器置0,并使D=1。通過這樣能使計(jì)數(shù)器的加減狀態(tài)發(fā)生改變,從而實(shí)現(xiàn)可逆計(jì)數(shù)功能。考慮到組合邏輯電路部分可能發(fā)生競爭-冒險(xiǎn)現(xiàn)象,這種由于競爭而產(chǎn)生的尖峰脈沖會引起D觸發(fā)器的誤翻轉(zhuǎn),造成錯(cuò)誤的結(jié)果。所以在D觸發(fā)器的CLK端前面加一個(gè)濾波電容,過濾掉產(chǎn)生的尖峰脈沖。3.4譯碼顯示單元電路設(shè)計(jì)實(shí)現(xiàn)方案計(jì)數(shù)器實(shí)現(xiàn)了對時(shí)間的累計(jì)以8421BCD碼形式輸出,譯碼驅(qū)動電路將計(jì)數(shù)器輸出

11、的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為七段數(shù)碼管的正常工作提供足夠的工作電流。譯碼器是一個(gè)多輸入、多輸出的組合邏輯電路。它的工作是把給定的代碼進(jìn)行“翻譯”,變成相應(yīng)的狀態(tài),使輸出通道中相應(yīng)的一路有信號輸出。譯碼器在數(shù)字系統(tǒng)中有廣泛的用途,不僅用于代碼的轉(zhuǎn)換、終端的數(shù)字顯示,還用于數(shù)字分配,存儲器尋址和組合控制信號等。譯碼器可以分為通用譯碼器和顯示譯碼器兩大類。用于驅(qū)動LED七段數(shù)碼顯示常用的有74LS48。74LS48是BCD-7段譯碼器/驅(qū)動器,其輸出是OC門輸出且高電平有效,專用于驅(qū)動LED七段共陰極顯示數(shù)碼管。其功能是把輸入的8421BCD碼ABCD譯成七段輸出a-g,再由

12、七段數(shù)碼管顯示相應(yīng)的數(shù)。由74LS48和LED七段共陰極數(shù)碼管組成一位數(shù)碼顯示電路。若將個(gè)位、時(shí)位、百位計(jì)數(shù)器的輸出分別接到相應(yīng)七段譯碼器的輸入端,便可進(jìn)行不同數(shù)字顯示。在譯碼器輸出與數(shù)碼管之間串聯(lián)的R為限流電阻。當(dāng)計(jì)數(shù)器在CP脈沖的作用下,就應(yīng)將其狀態(tài)顯示成清晰的數(shù)字符號。 74LS48的管腳如圖3-6所示。在管腳圖中,管腳LT、RBI、BI/RBO都是低電平是起作用,作用分別為:LT為燈測檢查,用LT可檢查七段顯示器個(gè)字段是否能正常被點(diǎn)燃。BI是滅燈輸入,可以使顯示燈熄滅。RBI是滅零輸入,可以按照需要將顯示的零予以熄滅。BI/RBO是共用輸出端,RBO稱為滅零輸出端,可以配合滅零輸出端R

13、BI,在多位十進(jìn)制數(shù)表示時(shí),把多余零位熄滅掉,以提高視圖的清晰度。74LS48圖3-6 74LS48 的管腳圖74LS48的功能:74LS48的功能表如表3-3所示。表3-3 74LS48 BCD七段譯碼驅(qū)動器功能表74LS48引腳功能-七段譯碼驅(qū)動器功能表十進(jìn)制數(shù)輸 入BT/RB0輸 出LTRBIABCDabcdefg0H/0000H11111111H/0001H01100002H/0010H11011013H/0011H11110014H/0100H01100115H/0101H10110116H/0110H00111117H/0111H11100008H/1000H11111119H/1

14、001H1110011(1)譯碼功能:將LT,RBI和BI/RBO端接高電平,輸入十進(jìn)制數(shù)09的任意一組8421BCD碼(原碼),則輸出端ag也會得到一組相應(yīng)的7位二進(jìn)制代碼(74LS48驅(qū)動共陰極,輸出3FH、06H、5BH;74LS47驅(qū)動共陽極,輸出COH、F9H、A4H)。如果將這組代碼輸入到數(shù)碼管,就可以顯示出相應(yīng)的十進(jìn)制數(shù)。(2)試燈功能:給試燈輸入加低電平,而BI/RBO端加高電平時(shí),則輸出端ag均為高電平。若將其輸入數(shù)碼管,則所有的顯示段都發(fā)亮。此功能可以用于檢查數(shù)碼管的好壞。(3)滅燈功能:將低電平加于滅燈輸入時(shí),不管其他輸入為什么電平,所有輸出端都為低電平。將這樣的輸出信號

15、加至數(shù)碼管,數(shù)碼管將不發(fā)亮。(4)動態(tài)滅燈功能:RBI端為滅零輸入端,其作用是將數(shù)碼管顯示的數(shù)字0熄滅。當(dāng)RBI=0,且DCBA=0000時(shí),若LT=1,ag輸出為低電平,數(shù)碼管無顯示。利用該滅零端,可熄滅多位顯示中不需要的零。不需要滅零時(shí),RBI=1。圖3-7是共陰極式LED數(shù)碼管的原理圖,使用時(shí)公共陰極接地,使每個(gè)發(fā)光二極管都處于導(dǎo)通狀態(tài),而且這7個(gè)發(fā)光二極管a到g分別由相應(yīng)的BCD七段譯碼器來驅(qū)動。圖3-7 共陰極LED數(shù)碼管的原理圖選用型號為LG5011AH的數(shù)碼管,LG5011AH的管腳功能圖如圖3-8所示, 圖3-8 LG5011AH的管腳圖譯碼顯示電路由共陰極譯碼器74LS48和

16、七段數(shù)碼管LED組成。74LS48和共陰數(shù)碼管的連接圖如圖3-9所示。圖3-9 譯碼顯示電路圖3.5 手動脈沖發(fā)生電路實(shí)現(xiàn)方案圖3-10 手動脈沖電路圖如圖3-10所示,由圖可以看出當(dāng)暫停按鈕沒有按下的時(shí)候,輸出信號端是由脈沖信號源。當(dāng)暫停按下去的時(shí)候,與非門4腳為低電平,與非門6腳為高電平,此時(shí)脈沖信號源不起作用,而是由手動脈沖來決定了。當(dāng)沒有按下手動脈沖時(shí),輸出信號端為持續(xù)高電平。只有按下手動脈沖按鈕才能產(chǎn)生低電平。所以通過按動手動按鈕就可以實(shí)現(xiàn)手動產(chǎn)生脈沖信號了。由于當(dāng)暫停按鈕按下時(shí),電源和地線短路,這種情況是不允許的,所以在電源和按鈕之間串聯(lián)一個(gè)10K的上拉電阻。圖3-11 按鈕抖動圖

17、按鈕按下去的期間為產(chǎn)生抖動,如圖3-11所示,可能會連續(xù)產(chǎn)生幾個(gè)較小脈沖,影響電路。此時(shí)可以利用電容的充放電特性,通常在按鈕兩端并聯(lián)一只電容,來達(dá)到濾除抖動帶來的干擾,這樣就能把前沿抖動和后沿抖動去除。3.6 清零功能實(shí)現(xiàn)方案根據(jù)74LS192的功能表可以看出,清零的方法有兩種,一種是復(fù)位法,通過給MR端一個(gè)高電平來進(jìn)行清零,另一種是預(yù)置數(shù)法,先把D0、D1、D2、D3 接地(相當(dāng)于0,通過給一個(gè)低電平,達(dá)到置零的目的。這里選擇第二方法,如圖3-12所示:圖3-12 清零電路設(shè)計(jì)圖通過圖分析,可以看出當(dāng)清零按鈕按下去的時(shí)候 為0,此時(shí)74LS192置為預(yù)設(shè)好的0000.,即達(dá)到了清零的目的。因

18、為之前說過,電源和地線不能直接相連,所以要在電源端串聯(lián)一個(gè)10K的電阻。防止清零的時(shí)候整個(gè)電路短路。3.7 總原理圖的設(shè)計(jì)實(shí)現(xiàn)方案將計(jì)數(shù)電路、顯示譯碼電路、加/減法/加減可逆控制電路、清零電路和手動脈沖電路連接起來就構(gòu)成了符合設(shè)計(jì)要求電路圖,如圖3-13所示。圖3-13 手動、自動控制加/減可逆計(jì)數(shù)器原理圖4 Proteus仿真Proteus軟件是英國Labcenter electronics公司出版的EDA工具軟件。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是目前最好的仿真單片機(jī)及外圍器件的工具。雖然目前國內(nèi)推廣剛起步,但已受到單片機(jī)愛好者、從事單片機(jī)教學(xué)

19、的教師、致力于單片機(jī)開發(fā)應(yīng)用的科技工作者的青睞。 打開該軟件,按照如圖X-X所示布置完整個(gè)電路圖之后,點(diǎn)擊proteus右下角圖標(biāo)中的圖標(biāo),開始仿真,仿真先在可逆計(jì)數(shù)的模式下計(jì)數(shù):先加法計(jì)數(shù),從0計(jì)數(shù)到9,當(dāng)加計(jì)數(shù)到最大值9后自動進(jìn)行減計(jì)數(shù),當(dāng)減計(jì)數(shù)到最小值0后自動進(jìn)行加計(jì)數(shù),如不斷循環(huán)。仿真中的一個(gè)狀態(tài)如圖4-1所示。圖4-1 完整電原理圖十進(jìn)制加法仿真:從0計(jì)數(shù)到9,然后又跳到0,不斷循環(huán)。十進(jìn)制減法仿真:從9計(jì)數(shù)到0,然后又跳到9,不斷循環(huán)。清零按鈕仿真:按下清零之后,數(shù)碼管馬上顯示0,計(jì)數(shù)器重新從0開始計(jì)數(shù)。暫停按鈕仿真:按下暫停之后,計(jì)數(shù)器停止計(jì)數(shù)。手動脈沖仿真:按一下手動脈沖,計(jì)數(shù)

20、器計(jì)數(shù)一次。從仿真結(jié)果可以得出,在Proteus軟件中仿真結(jié)果與之前設(shè)計(jì)方案所要求的結(jié)果完全一樣,說明電路圖達(dá)到設(shè)計(jì)要求。5 制版與調(diào)試5.1 DXP注意事項(xiàng)電路繪制的時(shí)候主要是注意各個(gè)元器件的封裝和實(shí)際買回來的元器件的管腳大小及距離相對應(yīng),并有選擇地采用排針作為信號的輸入以及輸出和直流電源的輸入。元器件采用先手動布局。布線采用自動布局,然后在這基礎(chǔ)上手動調(diào)整布局,布線時(shí)需要設(shè)計(jì)好參數(shù)。由于做電路板的條件有限,不可能按照工廠印刷版的參數(shù)來設(shè)定。所以為了方便做板,一般線條大小為0.7mm,地線為1.5mm,過孔為0.5mm,焊盤為1.8mm。電路板的長寬為:98mm×125mm。實(shí)際板

21、子大小為:105mm×145mm,繪制好的pcb的sch電路圖看附錄圖2。Pcb板請看附錄圖1.5.2 制作PCB板的流程設(shè)計(jì)好原理圖sch改變封裝繪制pcb板布局布線打印pcb圖紙印制銅板腐蝕銅板鉆孔焊接元器件測板修改電路測試(直到符合設(shè)計(jì)要求)。5.3 注意事項(xiàng)(1)在測試過程中,若某項(xiàng)指標(biāo)偏差較大,則根據(jù)設(shè)計(jì)表調(diào)整修正相應(yīng)元器件的值。(2)制作板子過程中,為了節(jié)省材料,節(jié)約資源,盡量用最少的東西完成最佳的功能。所以板子元器件布局緊密。而提高抗干擾能力,布線時(shí)盡量短,少。焊盤過孔設(shè)計(jì)合理。5.4 調(diào)試結(jié)果與分析對安裝好的電路按一下方法進(jìn)行調(diào)整和測試: (1)仔細(xì)檢查裝好的電路,確

22、認(rèn)元件與導(dǎo)線連接無誤后連通電源。(2)在電路輸入端輸入5V電源,接上電路后看數(shù)碼管是否能正常顯示數(shù)字,并自動計(jì)數(shù)。若沒有正常計(jì)數(shù),應(yīng)該檢查電路,找出故障原因。(3)若數(shù)碼管的數(shù)字能正常跳動,可進(jìn)一步進(jìn)行調(diào)試,觀察其他功能是否滿足設(shè)計(jì)要求,若不滿足設(shè)計(jì)要求應(yīng)該根據(jù)不滿足設(shè)計(jì)要求的相關(guān)元件進(jìn)行檢查,使其達(dá)到設(shè)計(jì)要求。測試結(jié)果顯示自動加減/可逆加減完全符合設(shè)計(jì)要求,但是555多諧振蕩器的振蕩頻率為0.91hz,與理論設(shè)計(jì)的不符,所以通過改變電位器的阻值來使實(shí)際的振蕩頻率大致變?yōu)?Hz。在手動脈沖的情況下實(shí)際中的按鈕抖動問題比較明顯,會出現(xiàn)按一次手動脈沖按鈕,數(shù)字跳動幾下的情況。介于個(gè)人的經(jīng)驗(yàn)不足,通過嘗試換不同大小的電容,但是還是沒有完全解決按鈕抖動的問題。課設(shè)總結(jié)課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識,是發(fā)現(xiàn)、提出、分析和解決實(shí)際問題、鍛煉實(shí)踐能力的重要環(huán)節(jié),是對學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過程。通過此次的課設(shè),我不僅學(xué)到了很多書上沒有的知識,而且鞏固了以前所學(xué)到的知識。讓我知道了光光學(xué)好理論知識是沒用的,要通過實(shí)踐把理論知識結(jié)合起來才能算是真正的學(xué)到知識。在課設(shè)的設(shè)計(jì)過程中,不斷有新的問題出現(xiàn),這時(shí)要通過查資料和搜索相關(guān)的文獻(xiàn)來解決這些問題,強(qiáng)化了我自己自學(xué)能力和動手能力。積累了大量有用的設(shè)計(jì)經(jīng)驗(yàn)。做課設(shè)保持良好的態(tài)度和心態(tài)是非常重要的,不能一遇到困難就放棄或者去抄襲別人的方案。而

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論