版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、3.5 聲音報警電路聲音報警電路通??梢杂袃煞N方法實現,一是采用單片機或可編程邏輯器件完成,二是采用分立元件實現。3.5.1分立元件制作的聲音報警電路圖3.5.1所示電路中,LM555電路構成的高頻多諧振蕩器由啟動信號啟動后,使LM555的第4腳為高電平,可以產生音頻信號,Q2則用作音頻放大器和揚聲器的驅動;實際應用時,也可以將揚聲器的電容隔離后接在第3腳的電阻上。圖3.5.1 555電路組成的聲音報警電路圖3.5.2 或非門組成的聲音報警電路圖3.5.2所示電路中,或非門CD4001A和B構成低頻振蕩器,在啟動信號(低電平有效)觸發(fā)下,使或非門A的一個輸入端為邏輯“0”,振蕩器從而被激發(fā),它
2、產生的低頻(約10Hz)方波對高頻振蕩器(由C、D門組成)進行門控制,以產生大約1KHz的信號。調整可以改變低頻信號的頻率,調整可以改變音調。3.5.2與單片機接口的聲音報警電路與程序在MCS-51單片機的P1.0口接上一個報警電路,如圖3.5.3所示。圖3.5.3 單片機組成的聲音報警輸出電路單片機計數器R7控制揚聲器響的次數,計數器R6控制響停時間。程序如下: WARM: MOV R7, #10; 響的次數; WAR2: MOV R6, #200; 響的音調;WAR0: ACALL DL10 CPL P1.0 DJNZ R6, WAR0 MOV R6 ,#100WAR1: ACALL DL
3、10 DJNZ R6, WAR1DJNZ R7,WAR2RET若晶振頻率為12MHz時,10ms延時子程序為: DL10 : MOV R5,#120 DL12 : MOV R4, #250 DL11 : DJNZ R4, DL11 DJNZ R5, DL12 RET3.5.3與可編程邏輯器件接口的聲音報警電路與程序通過FPGA進行預分頻產生兩種聲音的頻率每隔0.5秒交替輸出一個高電平,編輯程序模塊實現聲音報警功能,按下button鍵后產生報警信號通過alarm輸出到圖3.5.3所示的報警電路可以得到蜂鳴報警聲。VHDL程序如下:library IEEE;use IEEE.STD_LOGIC_1
4、164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity plyx_alarm is Port (clk : in std_logic; -50MHz標準時鐘信號; button: in std_logic;-開,停按鍵; alarm : out std_logic);-輸出;end plyx_alarm;architecture Behavioral of plyx_alarm issignal clk_1_2Hz : std_logic;beginprocess(button,clk)variab
5、le c : integer range 0 to 16000000;begin if button='1' then c:=0;clk_1_2Hz<='0'; elsif rising_edge(clk) then c:=c+1; if c<8000000 then clk_1_2Hz<='0';elsif c=16000000 then c:=0; else clk_1_2Hz<='1';end if;end if;end process;process(button,clk)variable c :
6、integer range 0 to 128000;begin if button='1' then c:=0;alarm<='0'; elsif rising_edge(clk) then c:=c+1; if clk_1_2Hz='1' then if c<32000 then alarm<='1'; elsif c=64000 then c:=0; else alarm<='0'; end if;elsif c<64000 then alarm<='1';e
7、lsif c=128000 then c:=0;else alarm<='0';end if;end if;end process;end Behavioral;3.6 傳感器及其應用電路3.6.1傳感器種類介紹1. 傳感器定義傳感器是能感受(或響應)規(guī)定的被測物理量,并按照一定規(guī)律轉換成可用信號輸出的器件或裝置。傳感器通常由直接響應于被測量的敏感元件和產生可用信號輸出的轉換元件以及相應的電子電路所組成。2. 傳感器分類(1)按傳感器的機理及轉換形式分類有結構型、物性型、數字(頻率)型、量子型、信息型和智能型。(2)按敏感材料分類有半導體型(如元素硅或V 族、VI 族化合
8、物)、功能陶瓷型(如電子型半導體瓷、壓電瓷)、功能高聚物型(如各種高分子有機半導體、壓電體)等。(3)按測量對象參數分類有光傳感器、濕度傳感器、溫度傳感器、磁傳感器、壓力(壓強)感器、振動傳咸器、超聲波傳感器等。(4)按應用領域分類有機器人傳感器、醫(yī)用(生物)感器、環(huán)保傳感器、各種過程和檢測傳感器等。362 霍爾傳感器與應用電路1. 基本原理霍爾傳感器是利用半導體的磁電效應中的霍爾效應,將被測量轉換成霍爾電勢。 霍爾效應:將一載流體置于磁場中靜止不動,若此載流體中的電流方向與磁場方向不相同時,則在此載流體中平行于由電流方向和磁場方向所組成的平面上將產生電勢,此電勢稱為霍爾電勢,此現象稱為霍爾效
9、應。霍爾電勢 UH=BbI/nebd式中:B外磁場的磁感應強度;I通過基片的電流;n基片材料中的載流子濃度;e電子電荷量,e=1.602×10-9C;b基片寬度;d基片厚度。半導體材料的電阻率和遷移率均高,砷化銦和銻化銦常被大量采用作為制作霍爾元件的材料。霍爾元件通常被制作成長方形薄片。2. 集成霍爾傳感器集成霍爾傳感器利用硅集成電路工藝將霍爾元件與測量電路集成在一起,實現了材料、元件、電路三位一體,有線性型霍爾傳感器和開關型霍爾傳感器。 圖3.6.1 霍爾傳感器基本應用電路基本應用電路,如圖3.6.1所示,控制電流(激勵電流)由電源E供給,其大小可由調節(jié)電阻R來實現,霍爾片輸出端接
10、負載Rf,Rf可以是一般電阻,也可以是放大器的輸入電阻或指示器的內阻。在磁場和控制電流的作用下,負載上就有輸出電壓。在實際使用中,輸入信號可為電流I或磁感應強度B,或者兩者同時作為輸入,則輸出信號可正比于I或B,或兩者之積。由于建立霍爾效應所需的時間很短(約10-1210-14S之間),因此,控制電流用交流電時,頻率可以很高(幾千兆赫)。3. 典型應用轉速測量霍爾傳感器是基于UH=(kH/d)IBsin式工作的,如圖3.6.2所示的非電量通過彈性元件產生位移便可利用霍爾傳感器進行測量。圖3.6.2 非電量通過霍爾傳感器測量原理(1)轉速測量原理圖3.6.3霍爾傳感器檢測轉速示意圖應用開關型霍爾
11、傳感器檢測轉速的示意圖如圖3.6.3所示。在非磁材料的圓盤邊緣上粘貼一塊磁鋼,將圓盤固定在被測轉軸上,開關型霍爾傳感器固定在圓盤外緣附近,圓盤每旋轉一周,霍爾傳感器便輸出一個脈沖,用頻率計測量這些脈沖,便可知道轉速。設頻率計的頻率為f,粘貼的磁鋼數為Z,則轉軸轉速為n=60f/Z(r/min)若Z=60,則n=f,即轉速為頻率計的示值。但是,粘貼60塊磁鋼實在麻煩,而且若圓盤很小便裝不下這么多。因此,可視情況粘貼適當的塊數。例如:可粘貼6塊磁鋼,則轉速為n=10f這樣讀數與計算都比較方便。 (2)測量轉速電路測量轉速的裝置示意圖如圖3.6.4所示,將霍爾傳感器按圖3.6.3的方式裝成后,再將霍
12、爾傳感器H的1腳和3腳間接2K的電阻,將其輸出端接到數字式頻率計的輸入端,即可根據相應的情況計算出被測機械的轉速。圖3.6.4 測量轉速的裝置具體的轉速測量電路如圖3.6.5所示。該電路采用霍爾IC UGN3040檢測磁性轉子的轉數。UGN3040是集電極開路元件,外接上拉電阻。當磁性轉子轉動時,霍爾IC的輸出也隨之變化,B點是經過三極管反相后的輸出。后續(xù)電路可用計數器記錄轉速。圖3.6.5 轉數檢測電路開關型霍爾傳感器還可選用UGN-3020,UGN-3030型,其電源電壓為4.525V,對磁感應強度B的大小要求不嚴格,當電源電壓為12V時,其輸出截止電壓的幅值U012V。亦可選用國產CS8
13、37,CS6837型,其電源電壓為10V;CS839,CS6839其電源電壓為18V。但應注意的是,CS型開關集成霍爾傳感器為雙端輸出,也屬于集電極開路輸出級。不管是單端輸出還是雙端輸出,電源和集電極間必須接上負載電阻才能正常工作。363金屬傳感器與應用電路1. 集成金屬傳感器的分類集成金屬傳感器包括兩種類型:電容式接近開關和電感式接近開關。(1)電感式接近開關電感式接近開關是建立在電磁場的理論基礎上而工作的。由電磁場理論可知,在受到時變電磁場作用的任何導體中,都會產生電渦流。成塊的金屬置于變化的磁場中,或者在固定的磁場中運動時,金屬導體內就要產生感應電流,這種電流的磁力線在金屬內是閉合的,所
14、以稱為渦流。導體影響使線圈的阻抗發(fā)生變化,這種變化稱為反阻抗作用。該傳感器利用受到交變磁場作用的導體中產生的電渦流,調節(jié)線圈原有阻抗。因此電感式接近開關可以作為金屬探測器。幾種常用的電感式接近開關的外形如圖3.6.6所示。*齊平安裝;檢測距離: 3,5,10mm*非齊平安裝;檢測距離: 8,12,20mm(a) 帶螺紋塑料圓柱形*齊平安裝;檢測距離:3,5,10mm*非齊平安裝;檢測距離:8,12,20mm(b)鍍鉻黃銅圓柱外殼 *齊平安裝;檢測距離:1.5,3,5,10mm*非齊平安裝;檢測距離:4,8,12,20mm(c)不銹鋼圓柱外殼圖3.6.6 常用電感式接近開關(2)電容式接近開關電
15、容式接近開關的感應面由兩個同軸金屬電極構成,很像“打開的”電容器的電極,如圖3.6.7所示。電極A和電極B連接在高頻振子的反饋回路中。該高頻振子無測試目標時不感應。當測試目標接近傳感器表面時,測試目標就進入了由這兩個電極構成的電場,引起A、B之間的偶合電容增加,電路開始振蕩。該振蕩信號由電路檢測,并形成開關信號。電容式接近開關主要由振蕩電路、檢波、整形電路、開關電路等幾部分組成,如圖3.6.7所示。圖3.6.7 電容器的極板位置圖3.6.8 常用電容式接近開關常用的電容式接近開關的外形如圖3.6.8所示;外形、安裝方式、接線方式、檢測距離等參數與電感式接近開關基本相同。2. 自制簡易金屬傳感器
16、電路競賽時也可以自制金屬傳感器。由電磁場理論可知,在受到時變電磁場作用的任何導體中,都會產生電渦流。電渦流式傳感器的靈敏度和線性范圍是與線圈產生的磁場強度和分布狀況有關,磁場沿徑向分布范圍大,則線性范圍就大,軸向磁場梯度大,則靈敏度就高。它們與傳感器線圈的尺寸和形狀有關。根據這種關系就可以確定線圈的形狀和尺寸參數。 當x小時(被測物體靠近線圈),線圈半徑rb小,則產生的磁感應強度大。 當x大時(被測體遠離線圈),磁感應強度小,且半徑小的變化梯度大,線圈半徑大的變化梯度小。對渦流傳感器通常設計為截流扁平線圈,產生的磁場可以視為由相應的單匝線圈的磁場疊加而成。a. 線圈外徑大時,傳感器敏感范圍大,
17、線性范圍相應也大,但敏感度低。b. 線圈外徑小時,線性范圍相應小,但敏感度增大。c. 線圈薄時,靈敏度高。d. 線圈內徑改變時,只有被測體與傳感器距離近時,靈敏度略有變化。e. 設計時,傳感器的線性范圍一般取為線圈外徑的1/31/5。圖3.6.9 金屬傳感器電路金屬傳感器電路如圖3.6.9所示,電路由振蕩電路、比較電路和整形電路三部分組成。當有金屬時,影響線圈L1的阻抗,從而影響振蕩電路的輸出幅值,經過比較器進行比較,比較后的輸出信號經整形電路整形,可直接輸入到控制電路進行檢測狀態(tài)的判斷。364溫度傳感器與應用電路1. 分類溫度傳感器的數量在各種傳感器中占據首位。其中將溫度轉換為電阻變化的稱為
18、熱電阻和熱敏電阻傳感器;將溫度轉換成電勢變化的稱為熱電偶傳感器。2. 熱電偶溫度傳感器(1)基本原理熱電偶傳感器能將溫度變化量轉換為熱電勢,理論是建立在熱電效應基礎上。熱電效應:將兩種不同材料的導體組成一個閉合回路,如果兩個結點的溫度不同,則回路中將產生一定的電流(電勢),其大小與材料性質及結點溫度有關,這種物理現象即為熱電效應。(2)應用電路如圖3.6.10所示的熱電偶報警信號電路。在AD594/AD595的應用中,13腳應受一定的限制,它的電壓不能超過-4V。這一點只要將13腳連接到4腳的公共端,或連到7腳的V-就容易辦到。電路在正常工作時報警晶體管斷開,20K的上拉電阻使12腳輸出為高電
19、平。如果熱電偶的一個頭或兩個頭斷開,12腳將輸出低電平,從而輸出報警信號。圖3.6.10 熱電偶報警信號電路3. 熱電阻溫度傳感器利用熱電阻和熱敏電阻的溫度系數制成的溫度傳感器,均稱為熱電阻式溫度傳感器。(1)金屬熱電阻工作原理由物理學可知,對于大多數金屬導體的電阻,都具有隨溫度變化的特性,其特性方程滿足下式:Rt=R01+(t-t0)式中,Rt、R0分別為熱電阻在t和0時的電阻;為熱電阻的溫度系數(1/)。對于絕大多數金屬導體,值并不是一個常數,而是隨溫度而變化,但在一定溫度范圍內,可近似視為一個常數,不同的金屬導體,保持常數所對應的溫度范圍也不同。(2)金屬熱電阻種類鉑熱電阻:測溫復現性好
20、,被廣泛應用于作溫度的基準,標準的傳遞。銅電阻:靈敏度高,但易于氧化,一般只用于150以下的低溫測量和沒有水及無侵蝕性的介質中的溫度測量。電阻:電阻溫度系數大、電阻率也大,可制成體積小、靈敏度高的電阻溫度計;易于氧化、化學穩(wěn)定性差、不易提純、復制性也差,而且電阻-溫度特性線性差。因此,目前用的比較少。(3)熱電阻傳感器的測量電路熱電阻傳感器的測量電路最常用的是電橋電路,精度要求高的采用自動電橋,為了消除由于連接導線電阻隨環(huán)境溫度變化而造成的測量誤差,常采用三線和四線制連接方法。在此不再詳述。4. 半導體熱敏溫度傳感器(1)半導體熱敏溫度傳感器的分類用半導體制成的熱敏元件。一般來說,半導體比金屬
21、具有更大的電阻溫度系數。半導體熱敏電阻可分為:正溫度系數(PTC)、負溫度系數(NTC)、臨界溫度系數(CTR)熱敏電阻等幾類。PTC:主要用于彩電消磁、各種電器設備的過熱保護、發(fā)熱源的定溫控制,也可作限流元件使用。CTR:主要用作溫度開關。NTC:在點溫、表面溫度、溫差、溫度場等測量中得到廣泛的應用,還廣泛應用在自動控制及電子線路的熱補償電路中。是運用最為廣泛的熱敏電阻。(2)半導體熱敏溫度傳感器的應用熱敏電阻可以和普通的電阻一樣使用,只是熱敏電阻的阻值是隨著溫度的變化而變化的。可組成如圖3.6.11所示應用電路。圖3.6.11 熱敏電阻溫度測量電路這是一個非對稱式多諧振蕩器電路。R4為熱敏
22、電阻,當溫度變化時,其阻值將會隨著發(fā)生變化。此變化將會影響振蕩電路的振蕩頻率。將振蕩電路的輸出信號,輸入到控制電路(如FPGA或單片機控制系統(tǒng))中,便可以通過計頻率的變化而顯示出對應的溫度。需要注意的是,圖中的U1必須是MOS反相器,否則可能會不起振。振蕩電路的振蕩周期為(推導過程略):T=2.2 C(R2+R3+R4)由熱敏電阻組成的溫度控制器,其電路如圖3.6.12所示。溫度傳感器采用在25為10K的負溫度系數熱敏電阻,電路由兩個比較器組成。比較器A1為溫控電路,比較器A2為熱敏電阻損壞或接線斷開指示電路,調整W1可設定控制溫度,調整R5可調節(jié)電路翻轉延時時間,以免繼電器頻繁通斷。(3)二
23、極管PN結這類溫度傳感器是利用晶體管半導體材料的PN結的伏安特性與溫度之間的關系研制而成的一種溫度傳感器。根據半導體器件原理,流經晶體二極管的正向電流ID與這個PN結上的壓降VD有如下關系:ID=IseqVD/ kT式中,ID為PN結的正向電流;VD為PN結的正向壓降;q為電子電荷量;k為玻耳茲曼常數;T為絕對溫度;IS為反向飽和電流。溫度與電壓VD有關,這一特性就可用來測溫。 圖3.6.12 熱敏電阻溫度控制器二極管的測溫電路如圖3.6.13所示。利用二極管VD、R2、R1、R3和Rw組成一電橋電路,利用運算放大器將電橋輸出電壓信號放大,運算放大器也起到阻抗變換作用。 圖3.6.13 二極管
24、的測溫電路(4) 晶體三極管溫度傳感器晶體三極管溫度傳感器的原理根據晶體管原理,處于正向工作狀態(tài)的晶體三極管,其發(fā)射極電流和發(fā)射結電壓能很好的符合以下關系:Ie=Ise(eqVbe/kT-1) 式中,Ie為發(fā)射極電流;Vbe為發(fā)射結壓降;Ise為發(fā)射結的反向飽和電流。室溫時,KT/q=36mV左右,因此,在一般發(fā)射結正向偏置的條件下,都滿足Vbe>>KT /q的條件。經近似處理并取對數后得:Vbe=(KT/q)·ln(Ie/Ise) (3.6-1)由上式可知,溫度T與發(fā)射結壓降Vbe有對應關系,可根據此關系通過測量Vbe來測溫度T值。圖3.6.14 對管溫度傳感器由式3.
25、6-1可知,發(fā)射結壓降與反向飽和電流Ise有關,而Ise又是一個跟溫度有關的常數,為了消除Ise的影響,可以用接成對管方式來解決,電路如圖3.6.14所示,在此條件下,Ise1=Ise2。在Ie1和Ie2比值一定的條件下,vbe與絕對溫度成正比。比例系數是一個常數,與反向飽和電流無關,因此也與三極管的制造工藝條件無關??梢姡w三極管可以作為理想的測溫元件。 圖3.6.15 LM35封裝形式及管腳圖5. 集成溫度傳感器組成的測溫電路集成溫度傳感器LM35靈敏度為l0mv,即溫度為10時,輸出電壓為100mV。常溫下測溫精度為+/-0.5以內,消耗電流最大也只有70A,自身發(fā)熱對測量精度影響也只
26、在0.1以內。采用+4V以上單電源供電時,測量溫度范圍為2150;而采用雙電源供電時,測量溫度范圍為-55150(金屬殼封裝)和-40110(TO92封裝)。外形如圖3.6.15所示。(1)-20+100測溫電路圖3.6.16 -20+100測溫電路利用LM35或LM45溫度傳感器及二極管1N914可以組成單電源供電的測溫電路(一般需要正負電源)。輸出電壓Vo=10mV×t(t為測量溫度值),溫度測量范圍為-20+100。電路如圖3.6.16所示。 (2)溫度/頻率變化電路圖3.6.17 溫度/頻率轉換電路利用V/F變換器LM131芯片、集成溫度傳感器LM25或LM45及光電耦合器4
27、N128組成輸入輸出隔離的溫度/頻率變換電路。其溫度測量范圍為25100,響應的頻率輸出為251000Hz。由5k電位器來調整,使100電路輸出為1000Hz。利用光電耦合器作為輸入輸出隔離,進行電平轉換。電路如圖3.6.17所示。 (3)AD590遠程測溫電路如圖3.6.18所示電路可以測量千米之外的溫度。當溫度為-55+100時,電路的輸出電壓以100mV/的規(guī)律變化,輸出為-5.5+10V。電路中測溫元件采用AD590,其溫度變化的輸出電流經屏蔽線,并通過屏蔽線兩側的RC環(huán)節(jié)濾除干擾,再流過1K電阻,產生1mV的電壓加在放大器的輸入正端。AD590直接輸出的為絕對溫度,為了以攝氏溫度讀出
28、,需要在放大器的負端加上273.2mV電壓,這一電壓由LM1403經電阻分壓產生。實際應用中,屏蔽線只能一端接地,若兩端同時著地,將形成噪聲電流串至芯線引起干擾。圖3.6.18 AD590遠程測溫電路3.65 光電傳感器與應用電路1.主要類型 光電傳感器根據檢測模式的不同可分為如下幾種: (1)反射式光電傳感器將發(fā)光器與光敏器件置于一體內,發(fā)光器發(fā)射的光被檢測物反射到光敏器件。(2)透射式光電傳感器將發(fā)光器與光敏器件置于相對的兩個位置,光束也是在兩個相對的物體之間,穿過發(fā)光器與光敏器件的被檢測物體回阻斷光束,并啟動受光器。(3)聚焦式光電傳感器將發(fā)光器與光敏器件聚焦于特定距離,只有當被檢測物體
29、出現在聚焦點時,光敏器件才會接受到發(fā)光器發(fā)出的光束。2.應用舉例(1)利用反射式光電傳感器檢測黑白物體利用反射式光電傳感器檢測黑白物體的電路如圖3.6.19所示。圖3.6.19 光電檢測電路由于黑色物體和白色物體的反射系數不同,調節(jié)反射式光電傳感器與檢測對象之間的距離,使光敏三極管就只能接受到白色物體反射回來的光束。而對于黑色物體由于其反射系數小,所反射回來的光束很弱,光敏三極管無法接受到反射光。利用反射光可以使光敏三極管實現導通和關斷,從而實現對黑白物體的分辨。電路工作過程如下:當被測物體是黑色物體時,紅外光電二極管U1發(fā)射出的光,被反射回來的很弱,光敏三極管無法導通,所以A點此時為高電平,
30、通過反相器7414,FPGA或者微控制器接受到的信號是低電平。當被測物體是白色物體時,紅外光電二極管U1發(fā)射的光,被反射回來的很強,光敏三極管導通,所以A點此時為低電平,通過反相器7414, FPGA或者微控制器接受到的信號是高電平; FPGA或者微控制器檢測輸入的電平,即可以判斷此時被檢測物體是白色物體還是黑色物體。(2)在應用光電檢測電路時應注意: a.發(fā)光器的光強度發(fā)光器的光強度可以通過選擇適當的型號,改變加在發(fā)光器的限流電阻,或者在發(fā)光器和光敏器件的外面可以加上聚光裝置。b.不同物體表面對光線的反射能力不同,應仔細調節(jié)反射式光電傳感器與檢測對象之間的距離。c.工作環(huán)境條件。由于無法改變
31、工作環(huán)境,必須考慮光電傳感器的安裝位置。(3)在安裝中各種不同類型的光電傳感器有各自必須首先要注意的問題:a.反射式光電傳感器的安裝:首先要注意的就是要根據不同的檢測材料,確定適當的距離。具體的距離和具體的位置必須在現場調試。b.聚焦式光電傳感器的安裝:在這種傳感器的安裝過程中,最主要的就是要確定聚焦點的位置,如果位置選擇的不合適的話,就會使傳感器失去作用。c.透射式光電傳感器的安裝:一定要安裝好遮光片,安裝時一是要選擇好材料,二是要特別注意其安裝的位置。(4)光源檢測電路光源檢測電路用來判斷光源的位置。具體電路如圖3.6.20所示。 圖3.6.20 光源檢測電路由光敏二極管D2對光源進行檢測
32、,當光敏二極管接收到光源發(fā)出的光時,VT1和VT2導通,A點為低電平,VT3不能導通,B點為高電平,此時FPGA或者微控制器接收到的電平為高電平;當光敏三極管未接收到光源時,則VT1和VT2不導通,A點為高電平VT3導通,B點輸出低電平,此時FPGA或者微控制器接收到的電平為低電平。FPGA或者微控制器檢測輸入端電平即可以判斷此時光敏二極管是否檢測到了光源。3. 集成的光電傳感器(1)分類集成的光電傳感器主要有反射式光電開關、會聚式光電開關、透射式光電開關、反射板式光電開關、光纖穿透式開關、光纖反射式開關等幾種,一般采用前三種。(2)工作光源采用的工作光源主要有可見紅光(650nm)、可見綠光
33、(510nm)和紅外光(800940nm)。不同的光源在具體情況下各有其長處。例如:在不考慮被測物體顏色的情況下,紅外光有較寬的敏感范圍,而可見紅光或綠光特別適合于反差檢測,光源的顏色必須根據被測物體的顏色來選擇,紅色物體與紅色標記宜用綠光(互補色)進行檢測。(3)外形按照外殼形狀可分為:螺紋圓柱形系列,圓柱形系列,方形系列,槽型貫穿形系列。比較常用的螺紋圓柱形系列外形如圖3.6.21所示。(4)接線圖與電感式接近傳感器相同。具體型號可進入有關網站查詢。圖3.6.21螺紋園柱型光電傳感器3.6.6 超聲波傳感器與應用電路 超聲波傳感器可以用來測量距離,探測障礙物,區(qū)分被測物體的大小。1.基本原
34、理及其分類超聲波檢測裝置包含有一個發(fā)射器和一個接收器。發(fā)射器向外發(fā)射一個固定頻率的聲波信號,當遇到障礙物時,聲波返回被接收器接收。超聲波探頭可由壓電晶片制成,超聲波探頭既可以發(fā)射超聲波,也可以接收超聲波。小功率超聲探頭多作探測用,有多種不同的結構。40KHz超聲波探頭如圖3.6.22所示,型號為TCT40-2F (發(fā)射器)和TCT40-2S (接收器),兩者外形相同。 圖3.6.22 TCT40超聲波探頭外形及符號超聲探頭的構成晶片的材料可以有許多種。晶片的大小,如直徑和厚度也各不相同,因此每個探頭的性能是不同的。超聲波傳感器的主要性能指標包括:(1)工作頻率工作頻率就是壓電晶片的共振頻率。當
35、加到晶片兩端的交流電壓的頻率和晶片的共振頻率相等時,輸出的能量最大,靈敏度也最高,如圖3.6.23所示。(2)工作溫度由于壓電材料的居里點一般比較高,特別是診斷用超聲波探頭使用功率較小,所以工作溫度比較低,可以長時間地工作而不失效。(3)靈敏度靈敏度主要取決于制造晶片本身。機電耦合系數大,靈敏度高;反之,靈敏度低。圖3.6.23 超聲波發(fā)射器的頻率特性2. 超聲波傳感器的發(fā)射/接收電路(1) 超聲波傳感器的發(fā)射電路超聲波發(fā)射電路包括超聲波發(fā)射器、40KHz超音頻振蕩器、驅動(或激勵)電路,有時還包括編碼調制電路,設計時應注意以下兩點: 普通用的超聲波發(fā)射器所需電流小,只有幾毫安到十幾毫安,但激
36、勵電壓要求在4V以上。 激勵交流電壓的頻率必須調整在發(fā)射器中心頻率fo上,才能得到高發(fā)射功率和高效率。(a) (b)圖3.6.24 三極管組成的超聲波發(fā)射電路圖3.6.24(a)所示電路,用兩只低頻小功率三極管2SC9013組成的振蕩器、驅動電路。三極管T1和T2構成兩級放大器,又由于超聲波發(fā)射器ST的正反饋作用,使這個原本是放大器的電路變成了振蕩器,同時超聲發(fā)射器可以等效為1個串聯LC諧振電路,具有選頻作用;電路不需要調整,超聲波發(fā)射器在電路中同時擔當電能轉換為機械能、選頻、正反饋三個任務。圖3.6.24(b)中用電感取代(a)圖中的R3,這樣可以增大激勵電壓。 圖3.6.25 與非門組成的
37、超聲波發(fā)射電路 圖3.6.26 555定時器組成的超聲波發(fā)射電路圖3.6.25中使用與非門組成了超聲波發(fā)射電路,其中G3為驅動器,電路的振蕩頻率f0近似等于1/(2.2RC),調制信號由G2輸入。在圖3.6.26所示電路中,555定時器、R1、R2和C1組成多諧振蕩器,當調制信號為高電平時,啟動振蕩器輸出40KHz的頻率信號。圖3.6.27 LM1812組成的超聲波發(fā)射電路LM1812組成的超聲波發(fā)射電路如圖3.6.27所示。LM1812為一種專用于超聲波收發(fā)的集成電路,它既可以用作發(fā)射電路,又可以用于接收放大電路,它主要決定于引腳8的接法。第1腳接L1、C1并聯諧振槽路以確定振蕩器頻率。輸出變壓器接在6、13腳間,電容C2起退耦、濾波、信號旁路作用。C3應與變壓器副邊繞組諧振于發(fā)射載頻,變壓器的變比大致為N1:N2=1:2,當然超聲波發(fā)射器也可接在6、13腳間,但發(fā)射功率小。(2) 超聲波傳感器的接收電路由LM1812組成的接收電路如圖3.6.28所示。引腳8接地,使芯片工作于接收模式。輸出信號可以從第16腳輸出或從14
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 公路工程試驗檢測人員業(yè)務培訓-《公共基礎》輔導文件
- 2015安徽道法試卷+答案+解析
- 基于注意力機制的GNSS-INS緊組合導航關鍵技術研究
- 應急預案的協(xié)同作業(yè)
- 幼兒園防欺凌暴力安全主題
- 二零二五年度股份增發(fā)新增合同樣本3篇
- 2025版物業(yè)管理法規(guī)第五章(2025版)物業(yè)服務企業(yè)勞動合同3篇
- 二零二五年度母嬰用品銷售購銷合同(含親子活動策劃)
- 二零二五年度光伏發(fā)電設備維修施工協(xié)議3篇
- 二零二五年度離婚協(xié)議數學用語編制與審查合同3篇
- 道路瀝青工程施工方案
- 2025年度正規(guī)離婚協(xié)議書電子版下載服務
- 2025年貴州蔬菜集團有限公司招聘筆試參考題庫含答案解析
- 《田口方法的導入》課件
- 春節(jié)后安全生產開工第一課
- 2025光伏組件清洗合同
- 內陸?zhàn)B殖與水產品市場營銷策略考核試卷
- 電力電纜工程施工組織設計
- 2024年重慶市中考數學試題B卷含答案
- 醫(yī)生給病人免責協(xié)議書(2篇)
- 票據業(yè)務居間合同模板
評論
0/150
提交評論