微機原理3_計算機一般原理_第1頁
微機原理3_計算機一般原理_第2頁
微機原理3_計算機一般原理_第3頁
微機原理3_計算機一般原理_第4頁
微機原理3_計算機一般原理_第5頁
已閱讀5頁,還剩85頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、第三章 微型計算機一般原理1.運算方法(定點數(shù)的四則運算,邏輯運算)、運算器的結(jié)構(gòu)和工作原理;2.存儲原理、存儲器的操作;3.自動執(zhí)行原理、控制器的結(jié)構(gòu)及工作原理;4.輸入、輸出設(shè)備及接口。計算機程序、數(shù)據(jù)結(jié)果3.1.1 馮.諾依曼結(jié)構(gòu)原理:1、計算機由運算器、控制器、存儲器、輸入設(shè)備和輸出設(shè)備五部分組成;2、程序和數(shù)據(jù)以二進制形式不加區(qū)分地依次存放在存儲器中,存放位置由地址確定;3、控制器根據(jù)存放在存儲器中的指令序列(即程序)工作,并由一個程序計數(shù)器(PC)控制指令的執(zhí)行??刂破骶哂信袛嗄芰?,能夠根據(jù)計算結(jié)果選擇不同的動作流程。3.1 3.1 計算機系統(tǒng)組成計算機系統(tǒng)組成3.1 計算機系統(tǒng)組

2、成原理計算機系統(tǒng)組成原理 輸出設(shè)備輸出設(shè)備 輸入設(shè)備輸入設(shè)備 運算器運算器 控制器控制器 存儲器存儲器控制流控制流CS數(shù)據(jù)流數(shù)據(jù)流DS存儲器存儲器運算器運算器控制器控制器適配器適配器輸入設(shè)備輸入設(shè)備輸出設(shè)備輸出設(shè)備系統(tǒng)總線系統(tǒng)總線應(yīng)用語言應(yīng)用語言物理計算機(硬件)虛擬計算機(軟件)高級語言高級語言匯編語言匯編語言系統(tǒng)原語系統(tǒng)原語機器指令系統(tǒng)機器指令系統(tǒng)機器指令時序機器指令時序硬操作時序硬操作時序從語言功能層次劃分第第0級級由硬件實現(xiàn)由硬件實現(xiàn)。第第1級級由微程序?qū)崿F(xiàn)由微程序?qū)崿F(xiàn)。第第2級級是傳統(tǒng)指令系統(tǒng)(機器語言)機器。是傳統(tǒng)指令系統(tǒng)(機器語言)機器。第第3級級是操作系統(tǒng)機器。操作系統(tǒng)是運行在

3、第級上的解釋程序是操作系統(tǒng)機器。操作系統(tǒng)是運行在第級上的解釋程序第第4級級是匯編語言機器。是匯編語言機器。第第5級級是高級語言機器。是高級語言機器。第第6級級是應(yīng)用語言機器。是應(yīng)用語言機器。:第第0和第和第1級屬于計算機組織與結(jié)構(gòu),級屬于計算機組織與結(jié)構(gòu),第第3至第至第5級級是系統(tǒng)軟件,是系統(tǒng)軟件,第第6級是應(yīng)用軟件。級是應(yīng)用軟件。第第0級要求一定的數(shù)字邏輯基礎(chǔ);第級要求一定的數(shù)字邏輯基礎(chǔ);第2級涉及匯級涉及匯編語言程序設(shè)計的內(nèi)容;第編語言程序設(shè)計的內(nèi)容;第3級與計算機系統(tǒng)結(jié)構(gòu)密切相關(guān)。級與計算機系統(tǒng)結(jié)構(gòu)密切相關(guān)。在特殊在特殊的計算機系統(tǒng)中,有些級別可能不存在的計算機系統(tǒng)中,有些級別可能不存在

4、計算機是指計算機組成的 處理機、主存儲器等部件的物理結(jié)構(gòu)處理機、主存儲器等部件的物理結(jié)構(gòu) 器件的集成度和速度器件的集成度和速度 專用器件的設(shè)計專用器件的設(shè)計 器件、模塊、插件、底版的劃分與連接器件、模塊、插件、底版的劃分與連接 信號傳輸技術(shù)信號傳輸技術(shù) 電源、冷卻及裝配技術(shù),相關(guān)制造工藝及技術(shù)等電源、冷卻及裝配技術(shù),相關(guān)制造工藝及技術(shù)等硬件軟化:軟件完成較復雜功能,以降低硬件開銷。硬件軟化:軟件完成較復雜功能,以降低硬件開銷。軟件硬化:硬件完成較復雜功能,以提高處理速度。軟件硬化:硬件完成較復雜功能,以提高處理速度。軟件固化:運行微程序?qū)崿F(xiàn)較復雜功能,以提高性價比。軟件固化:運行微程序?qū)崿F(xiàn)較復

5、雜功能,以提高性價比。相互關(guān)系相互關(guān)系應(yīng)用程序應(yīng)用程序操作系統(tǒng)操作系統(tǒng)指指 令令 系系 統(tǒng)統(tǒng)組組 成成實實 現(xiàn)現(xiàn)編譯邏輯設(shè)計外特性設(shè)計器件,封裝、機箱、電源、冷卻設(shè)計硬件硬件 由電子線路、元器件和機械部分等夠成的具體裝置,由五個基本部件構(gòu)成。1、 運算器(ALU) 進行算術(shù)運算、邏輯運算的部件??梢赃M行加、減、乘、除等算術(shù)運算;還可以進行與、或、非、異或等邏輯運算。2、 控制器(CU) 依據(jù)程序指令,產(chǎn)生一系列控制脈沖和信號,指揮和協(xié)調(diào)整個計算機系統(tǒng)一步步地自動運行完成既定功能??刂破魇怯嬎銠C的“神經(jīng)中樞”。 運算器和控制器二者合起來稱為CPU(中央處理器Central Processing

6、Unit) 。 計算機系統(tǒng)由硬件和軟件兩部分組成,缺一不可。CPU功能 算術(shù)邏輯運算 指令譯碼、執(zhí)行 數(shù)據(jù)暫存 與MEM、I/O交換數(shù)據(jù) 提供整個系統(tǒng)所需的定時和控制 響應(yīng)中斷請求運算器運算器(1)功能:加工信息。)功能:加工信息。(2)組成:)組成:移位器移位器ALUALU選擇器通用寄存器組通用寄存器組選擇器簡單加減運算器XiX0XnFiF0FnYiY0Yn補碼加、減運算器補碼加、減運算器C0+全加器加X多路器加、減控制0加 1減送結(jié)果Cn加Y原 01 反 減Y寄存器結(jié)果寄存器接收主存代碼接收控制多路轉(zhuǎn)換器(與或門)移位器移位器ALUALU選擇器通用寄存器組通用寄存器組選擇器 ALU: AL

7、U: 通過加法器實現(xiàn)運算通過加法器實現(xiàn)運算操作(由全加器求和、由進位操作(由全加器求和、由進位鏈傳遞進位信號)。鏈傳遞進位信號)。移位器移位器ALUALU選擇器通用寄存器組通用寄存器組選擇器 通用寄存器組:提供操作數(shù),通用寄存器組:提供操作數(shù),存放運算結(jié)果。存放運算結(jié)果。移位器移位器ALUALU選擇器通用寄存器組通用寄存器組選擇器 選擇器:選擇操作數(shù);選擇控選擇器:選擇操作數(shù);選擇控制條件,實現(xiàn)各種算法。制條件,實現(xiàn)各種算法。移位器移位器ALUALU選擇器通用寄存器組通用寄存器組選擇器 移位器:直接或者移位移位器:直接或者移位送出運算結(jié)果。送出運算結(jié)果??刂破骺刂破鳎?)功能:產(chǎn)生控制命令)功

8、能:產(chǎn)生控制命令(微命令微命令),控制功能性部,控制功能性部件(運算器、存儲器、輸入輸出部件)以實現(xiàn)有關(guān)指件(運算器、存儲器、輸入輸出部件)以實現(xiàn)有關(guān)指令的功能。各部件什么時間實現(xiàn)什么操作均有控制器令的功能。各部件什么時間實現(xiàn)什么操作均有控制器發(fā)出控制命令;控制指令順序執(zhí)行。發(fā)出控制命令;控制指令順序執(zhí)行。(2)組成:)組成:微命令發(fā)生器微命令發(fā)生器指令信息指令信息狀態(tài)信息狀態(tài)信息時序信號時序信號微命令序列微命令序列邏輯條件邏輯條件時間條件時間條件微命令產(chǎn)生方式(指令執(zhí)行控制方微命令產(chǎn)生方式(指令執(zhí)行控制方式):式):組合邏輯控制方式:組合邏輯控制方式:微程序控制方式:微程序控制方式:由組合邏

9、輯電由組合邏輯電 路產(chǎn)生微命令路產(chǎn)生微命令由微指令產(chǎn)生由微指令產(chǎn)生微命令微命令3、存儲器(Memory) 是用來保存和記憶原始題目、原始數(shù)據(jù)、運算的中間結(jié)果、最后結(jié)果,以及為了使機器能自動進行運算而編制的各種命令(程序)的記憶裝置,實現(xiàn)存儲器的讀寫指令。存儲程序原理存儲器通常分為: 內(nèi)存儲器(主存儲器) 可直接與CPU交換信息 外存儲器(輔助存儲器) 不可直接與CPU交換信息CPU與內(nèi)存合起來稱為主機存儲器存儲器(1)功能)功能: 存儲程序存儲程序(指令、數(shù)據(jù))。指令、數(shù)據(jù))。(2)組成(主存儲器):)組成(主存儲器):存儲體存儲體地址寄存器地址寄存器譯碼器譯碼器讀讀/ /寫線路寫線路數(shù)據(jù)寄存

10、器數(shù)據(jù)寄存器控制線路控制線路1) 存儲體存儲體: 存放信息的實體。存放信息的實體。2)尋址系統(tǒng):對地址碼譯碼,選擇存)尋址系統(tǒng):對地址碼譯碼,選擇存儲單元。儲單元。3)讀)讀/寫線路和數(shù)據(jù)寄存器:完成讀寫線路和數(shù)據(jù)寄存器:完成讀/寫寫操作,暫存讀操作,暫存讀/寫數(shù)據(jù)。寫數(shù)據(jù)。4)控制線路:產(chǎn)生讀)控制線路:產(chǎn)生讀/寫時序,控制讀寫時序,控制讀/寫操作。寫操作。4、 輸入設(shè)備 把外部信號轉(zhuǎn)變?yōu)橛嬎銠C能識別的電信號并送給主機的設(shè)備。常用的輸入設(shè)備有鍵盤、鼠標器、光筆、掃描儀、觸摸屏、磁盤驅(qū)動器等。5、輸出設(shè)備 把計算機的計算結(jié)果或中間結(jié)果以容易閱讀和使用的形式表示出來。常用的輸出設(shè)備有屏幕、打印機

11、、繪圖儀、磁盤驅(qū)動器等。總 線:總線是將計算機內(nèi)各個部件連接在一起進行數(shù)據(jù)傳輸?shù)牟考?輸入設(shè)備和輸出設(shè)備是計算機與外部世界聯(lián)系的橋梁,統(tǒng)稱為外部設(shè)備(I/O設(shè)備, INPUT; OUTPUT的縮寫)輸入輸入/輸出設(shè)備輸出設(shè)備功能:轉(zhuǎn)換信息功能:轉(zhuǎn)換信息。 主機主機接口接口入入/出出設(shè)備設(shè)備輸入:原始信息輸入:原始信息 代碼,送入主機代碼,送入主機輸出:處理結(jié)果輸出:處理結(jié)果 人方便識別的形式,人方便識別的形式,并輸出并輸出(代碼)(代碼) 以總線為基礎(chǔ)的系統(tǒng)結(jié)構(gòu)以總線為基礎(chǔ)的系統(tǒng)結(jié)構(gòu) 總線:能為多個部件分時共享的總線:能為多個部件分時共享的一組信息傳送線路。一組信息傳送線路??偪?線線部件部

12、件部件部件部件部件總總 線線功能功能 內(nèi)總線內(nèi)總線 局部總線局部總線 系統(tǒng)總線系統(tǒng)總線 外總線外總線信息信息 地址總線地址總線 數(shù)據(jù)總線數(shù)據(jù)總線 控制總線控制總線CPU主存主存外設(shè)外設(shè)系統(tǒng)系統(tǒng)總總 線線計算機組成計算機組成內(nèi)部內(nèi)部總總 線線控制器控制器運算器運算器寄存器寄存器組組CPU組成組成在計算機中,基本上有兩種信息在流動,一種信息為數(shù)據(jù),即各種原始數(shù)據(jù)、中間結(jié)果、程序(代碼)等。這些數(shù)據(jù)由輸入設(shè)備輸入至運算器,再儲存于存儲器中;在運算處理過程中,數(shù)據(jù)從存儲器讀入運算器進行運算;運算的中間結(jié)果要存入存儲器中,或最后由運算器經(jīng)輸出設(shè)備輸出。計算機在運行過程中,人向計算機發(fā)出的各種命令(即程序

13、)也以數(shù)據(jù)的形式由存儲器送入控制器,再由控制器經(jīng)譯碼后變?yōu)楦鞣N控制信號。所以,該信息就是控制命令??刂七\算器按規(guī)定一步步地進行各種運算和處理、控制存儲器的讀和寫、控制輸出設(shè)備輸出結(jié)果等等。一、微型計算機的工作過程 由于執(zhí)行每一條指令,都包括取指、譯碼和執(zhí)行三個基本步驟,所以,微型計算機的工作過程,也就是不斷地取指令、譯碼和執(zhí)行的過程,直到遇到停機指令時才結(jié)束機器的運行。取指令,PC值加1停機?譯碼并執(zhí)行結(jié)束YN3.2 3.2 微型計算機的基本工作原理微型計算機的基本工作原理二、微處理器內(nèi)部結(jié)構(gòu)二、微處理器內(nèi)部結(jié)構(gòu)數(shù)據(jù)總線數(shù)據(jù)總線指令寄存器指令寄存器操作碼地址碼-1+1指令譯碼控制電路堆棧指示器

14、地址寄存器程序計數(shù)器地址總線內(nèi)存儲器. 暫存寄存器累加器鎖存器ALU標志寄存器累加器A通用寄存器組. 微處理器的一般結(jié)構(gòu): 1. 算術(shù)邏輯單元(ALU) 2. 內(nèi)部寄存器:累加器、通用寄存器、標志寄存器. 程序計數(shù)器. 指令寄存器. 指令譯碼器. 堆棧指示器. 時序和控制電路(時間順序的控制). 微處理器內(nèi)部總線:芯片總線(局部總線)、系統(tǒng)總線(板總 線)、外總線(通信總線)指令 計算機硬件能執(zhí)行的一個基本操作命令(讓計算機完成某個操作所發(fā)出的命令)指令格式操作碼操作數(shù)操作碼 指令的功能(告訴計算機干什么)操作數(shù) 操作對象的數(shù)據(jù)或數(shù)據(jù)存放的地址指令系統(tǒng) 某一系列計算機(微處理器)的基本指令的集

15、合,不同的計算機(微處理器)指令系統(tǒng)不同程序 為使計算機完成某項特定任務(wù)而編寫的一組有序的指令序列三、指令及執(zhí)行三、指令及執(zhí)行指令周期取指令指令譯碼計算有效地址取操作數(shù)執(zhí)行操作取指周期執(zhí)行周期指令執(zhí)行過程:內(nèi)存指令取指周期:執(zhí)行周期:控制信號有關(guān)部件動作CPU譯碼CPU程序的執(zhí)行:CPU不斷地,周而復始地取指令,分析指令,執(zhí)行指令。四、微型計算機系統(tǒng)的基本硬件組成鼠標MODEM顯示器顯示卡微處理器總線 (ISA、EISA、VESA、PCI)軟盤適配器軟盤驅(qū)動器硬盤適配器硬盤驅(qū)動器RAM/ROM光盤驅(qū)動器并行接口串行接口聲卡音響、話筒打印機主板鼠標MODEM接 口 ROM I/O接 口接 口 R

16、AM輸入設(shè)備輸出設(shè)備微 處 理 器 地址總線 ( Address Bus )數(shù)據(jù)總線 ( Data Bus )控制總線 ( Control Bus )定時器微型計算機系統(tǒng)的總線結(jié)構(gòu)五、系統(tǒng)主板六、存儲器分類六、存儲器分類內(nèi)存儲器(主存) 外存儲器(輔存)磁存儲器光存儲器 只讀存儲器(ROM)高速緩存(Cache) 隨機存取存儲器(RAM)存儲器PROM EPROME2ROM靜態(tài)RAM動態(tài)RAM磁帶磁盤軟盤硬盤只讀光盤(CD-ROM)一次性寫入光盤可擦寫光盤內(nèi)存儲器內(nèi)存儲器 功能:存放當前正在運行的程序和所需的數(shù)據(jù) 存儲容量:存儲器能存儲的總字節(jié)數(shù) 可直接與CPU交換信息 工作方式:按地址存取

17、CPU的尋址能力:由地址線的多少決定 (2N) 隨機存取存儲器(RAM ): 存放用戶程序和數(shù)據(jù),具有易失性(斷電后RAM中的內(nèi)容全部丟失) 高速緩沖存儲器(Cache) 只讀存儲器(ROM):存放不變的程序和數(shù)據(jù)(如引導程序、基本輸入/輸出系統(tǒng)BIOS),具有非易失性(斷電后ROM中的內(nèi)容不會丟失)。ROM又分為: 掩模型ROM:生產(chǎn)廠家寫入,無法更改。 PROM(可編程只讀存儲器) EPROM:可擦除可編程只讀存儲器,紫外線照射可擦除 E2ROM:電可擦除可編程只讀存儲器,可反復更換其中信息的ROM,所以被現(xiàn)在的微機主板ROMBIOS廣泛使用。3.3 3.3 運算器運算器3.3.1 加法器

18、iBCi-1iASiiCBC-10A00SBii-1CAiiSiC101ACB1SBii-1CAiiSiCn-1n-2n-1ACBn-1SBii-1CAiiSiCnN-1nACBnSCnX 運算器是根據(jù)程序的指令功能,以ALU算術(shù)邏輯運算單元為核心,在控制器的控制下完成算術(shù)四則運算、邏輯運算及其他運算的部件。3.3.2 定點加減運算XiX0XnFiF0FnYiY0Yn補碼加、減運算器補碼加、減運算器C0+全加器加X多路器加、減控制0加 1減送結(jié)果Cn加Y原 01 反 減Y寄存器結(jié)果寄存器接收主存代碼接收控制多路轉(zhuǎn)換器3.3.3 溢出的產(chǎn)生與判斷補碼加補碼加 64 0 0100 0000 兩異號

19、數(shù)相加兩異號數(shù)相加 +(-127) + 1 1000 0001 絕對不會產(chǎn)生溢出絕對不會產(chǎn)生溢出 -63 1 1100 0001 補補 1011 1111=-63 64 0 0100 0000 + 65 + 0 0100 000 1 產(chǎn)生上溢出產(chǎn)生上溢出 129 0 1000 0001 補補 1111 1111=-127 錯錯 -64 1 1100 0000 +(-65) + 1 1011 1111 產(chǎn)生下溢出產(chǎn)生下溢出 -129 1 0111 1111 補補 01111111 =127 錯錯補碼加補碼加 64 0 0100 0000 + 63 + 0 0011 1111 無溢出無溢出 127

20、 0 0111 1111 補補 0111 1111 =127 對對 溢出只發(fā)生在兩同號數(shù)相加,并且次高位向最高位(符號位)有進位的情況下。這時,和的符號位同加數(shù)與被加數(shù)的符號必然相反。 判斷溢出的三種方法: 1、兩同號數(shù)相加,若結(jié)果與相加數(shù)符號不同,則表示發(fā)生了溢出; 2、符號位的進位輸入Cn-1與進位輸出Cn不相同,則表示發(fā)生了溢出; 3、采用雙符號法。進行運算時,在加數(shù)和被加數(shù)前再增加一位與原數(shù)相同的符號位,構(gòu)成雙符號位,“00”表示正,“11”表示負,連雙符號位一起參與運算后,若運算結(jié)果的符號位不同,則一定發(fā)生了溢出。3.4 3.4 控制器控制器 控制器的工作: 控制運算器和系統(tǒng)的其他部

21、件不斷地,周而復始地取指令,分析指令,執(zhí)行指令。 取指令,PC值加1停機?譯碼并執(zhí)行結(jié)束YN控制器控制器主要功能主要功能從內(nèi)存中取指令,計算下一條指令的地址;從內(nèi)存中取指令,計算下一條指令的地址;對指令進行譯碼,產(chǎn)生操作控制信號;對指令進行譯碼,產(chǎn)生操作控制信號;控制指令執(zhí)行的步驟和數(shù)據(jù)流動的方向;控制指令執(zhí)行的步驟和數(shù)據(jù)流動的方向;控制程序和數(shù)據(jù)的輸入與結(jié)果輸出;隨機事件和某些特殊請求的處理。生成控制信號的方法生成控制信號的方法硬連線邏輯方式硬連線邏輯方式微程序方式微程序方式陣列邏輯方式陣列邏輯方式 控制器根據(jù)程序(即人向計算機發(fā)出的各種命令,也以二進制數(shù)據(jù)的形式依次存放在存儲器中),自動完

22、成將指令代碼由存儲器讀入控制器的指令寄存器中,再由指令寄存器經(jīng)譯碼后變?yōu)楦鞣N時序控制信號。從而控制運算器按既定的方式一步步地進行各種運算和處理、控制存儲器的讀和寫、控制輸出設(shè)備輸出結(jié)果等等。然后,控制指令計數(shù)器自動加1,給出下一條指令的存放位置,啟動下一個指令周期。 這樣,CPU執(zhí)行指令的過程,可看成是一個串行過程,讀指令代碼時,其他部件在等待,執(zhí)行指令時,讀指令部件又在等待, CPU工作效率較低。 CPU執(zhí)行指令的過程,可具體分為如下六個步驟: 1.取指(fetch); 2.譯碼(decoding); 3.計算有效地址(EA:Effective Address); 4.取操作數(shù); 5.執(zhí)行

23、6.存儲運算結(jié)果 概括的說,可分為“取指令”和“執(zhí)行指令”兩個步驟。 早期的計算機將這兩步采用先后輪流動作(串行),CPU效率較低。取指1取指2取指3執(zhí)行1執(zhí)行2執(zhí)行33.4.1控制器的組成程序計數(shù)器程序計數(shù)器(PC)(PC):存當前正在執(zhí)行的指令的地址存當前正在執(zhí)行的指令的地址 存即將執(zhí)行的下一條指令的地址存即將執(zhí)行的下一條指令的地址 存下一條預(yù)取指令的地址存下一條預(yù)取指令的地址指令寄存器指令寄存器(IR)(IR):存放本條要執(zhí)行的指令,保持到指令執(zhí)行完畢:存放本條要執(zhí)行的指令,保持到指令執(zhí)行完畢指令譯碼器(指令譯碼器(IDID):):對操作碼進行分析對操作碼進行分析, , 產(chǎn)生相應(yīng)控制信號

24、產(chǎn)生相應(yīng)控制信號脈沖源:脈沖源:時鐘信號時鐘信號, reset, reset信號信號啟??刂凭€路:啟??刂凭€路:開啟、封鎖機器工作時鐘開啟、封鎖機器工作時鐘時序控制信號形成部件:時序控制信號形成部件:產(chǎn)生節(jié)拍序列脈沖信號產(chǎn)生節(jié)拍序列脈沖信號程序狀態(tài)字(程序狀態(tài)字(PSWPSW):):狀態(tài)寄存器,標志位狀態(tài)寄存器,標志位, , 控制位控制位控制器邏輯框圖微操作控制微操作控制形成部件形成部件時序脈沖時序脈沖發(fā)生器發(fā)生器指令指令 譯碼器譯碼器操作碼操作碼 地址碼地址碼啟停啟停地址形成電路地址形成電路PCCPU外部狀態(tài)外部狀態(tài)脈沖源脈沖源微操作控制信號微操作控制信號+1(控制臺控制臺)MARIR1.根

25、據(jù)不同指令的操作碼產(chǎn)生所需的微操作命令根據(jù)不同指令的操作碼產(chǎn)生所需的微操作命令,即指令譯碼即指令譯碼2.操作命令與時序脈沖結(jié)合形成一定時序的微命令操作命令與時序脈沖結(jié)合形成一定時序的微命令 實現(xiàn)方法實現(xiàn)方法: 組合邏輯組合邏輯:硬布線邏輯;硬布線邏輯;可編程邏輯陣列可編程邏輯陣列(PLA) 存儲邏輯存儲邏輯:微程序控制邏輯微程序控制邏輯3.4.2時序系統(tǒng)的組成時序系統(tǒng)的組成M1脈沖發(fā)生器脈沖發(fā)生器節(jié)拍發(fā)生器節(jié)拍發(fā)生器周期狀態(tài)周期狀態(tài)觸發(fā)器觸發(fā)器脈沖源脈沖源啟動啟動暫停暫停M2T1T21.脈沖源脈沖源:由石英晶體震蕩器及由石英晶體震蕩器及“與非門與非門”組合的震蕩電路組成組合的震蕩電路組成2.脈

26、沖發(fā)生器脈沖發(fā)生器:通常是一個環(huán)行脈沖發(fā)生器通常是一個環(huán)行脈沖發(fā)生器,采用循環(huán)移位寄存器的形式采用循環(huán)移位寄存器的形式,產(chǎn)生一組有序的、間隔相等或不等的脈沖序列產(chǎn)生一組有序的、間隔相等或不等的脈沖序列3.節(jié)拍發(fā)生器節(jié)拍發(fā)生器:按先后順序按先后順序,循環(huán)地發(fā)出若干時鐘周期信號循環(huán)地發(fā)出若干時鐘周期信號,最后通過譯碼最后通過譯碼電路電路,產(chǎn)生最后所需的節(jié)拍脈沖產(chǎn)生最后所需的節(jié)拍脈沖,通常由計數(shù)譯碼器電路組成通常由計數(shù)譯碼器電路組成.4.周期狀態(tài)觸發(fā)器周期狀態(tài)觸發(fā)器:產(chǎn)生電路與節(jié)拍發(fā)生器產(chǎn)生電路類似產(chǎn)生電路與節(jié)拍發(fā)生器產(chǎn)生電路類似.表示表示CPU當前處于指令周期的哪個機器周期當前處于指令周期的哪個機

27、器周期5.啟??刂七壿媶⑼?刂七壿?控制時鐘系統(tǒng)控制時鐘系統(tǒng),只有當啟動機器運行時只有當啟動機器運行時,才允許發(fā)才允許發(fā)出所需的時鐘脈沖出所需的時鐘脈沖,而且而且,由于機器的啟停是隨機的由于機器的啟停是隨機的,必須考慮發(fā)出必須考慮發(fā)出的脈沖是完整的的脈沖是完整的.時序控制邏輯基本概念時序控制邏輯基本概念指令周期指令周期:取出一條指令并執(zhí)行該指令的時間:取出一條指令并執(zhí)行該指令的時間機器周期機器周期:CPU同主存或外設(shè)進行一次信息交換所需的時間同主存或外設(shè)進行一次信息交換所需的時間總線總線周期周期、CPU周期周期節(jié)拍電位與時鐘周期節(jié)拍電位與時鐘周期:CPU執(zhí)行一個微操作的最小時間單位執(zhí)行一個微操

28、作的最小時間單位節(jié)拍節(jié)拍周期周期、T周期,周期,節(jié)拍的寬度取決與節(jié)拍的寬度取決與CPUCPU執(zhí)行一次微操作所需要的時執(zhí)行一次微操作所需要的時間,不同的機器周期內(nèi)所包括的節(jié)拍數(shù)可以是固定不變的,也可間,不同的機器周期內(nèi)所包括的節(jié)拍數(shù)可以是固定不變的,也可以是可變的;在一個節(jié)拍中,有的操作還需要嚴格的定時脈以是可變的;在一個節(jié)拍中,有的操作還需要嚴格的定時脈沖,所以在一個節(jié)拍之內(nèi)往往還需要設(shè)置幾個工作脈沖。沖,所以在一個節(jié)拍之內(nèi)往往還需要設(shè)置幾個工作脈沖。三者關(guān)系三者關(guān)系:一個指令周期包含若干個一個指令周期包含若干個CPU周期,一個周期,一個CPU周期的周期的功能由多個時鐘周期來完成。功能由多個時

29、鐘周期來完成。機器周期機器周期M1M1機器周期機器周期M2M2機器周期機器周期M3M3時鐘周期時鐘周期T2T2時鐘周期時鐘周期T3T3時鐘脈沖時鐘脈沖CLKCLK時鐘周期時鐘周期T1T1一個指令周期一個指令周期3.4.3組合邏輯控制器原理組合邏輯控制器原理一、控制器組成一、控制器組成微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成PCPCD尋尋來自來自M送送M或或ALU+1送送M2022-2-355微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信

30、息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成PCPCD尋尋來自來自M送送M或或ALU+1送送M微命令微命令發(fā)生器發(fā)生器1.1.微命令發(fā)生器微命令發(fā)生器功能:功能:產(chǎn)生全機所需的各種微命令產(chǎn)生全機所需的各種微命令控制最基本的操作控制最基本的操作( (微操作微操作) )的命令的命令電位型電位型脈沖型脈沖型2022-2-356微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成PCPCD尋尋來自來自M送送M或或ALU+1送送M2.2.指令計數(shù)器指令計數(shù)

31、器PCPC功能:功能: 指示指令在指示指令在M中的位置。中的位置。PCPC本身本身+1+1順序執(zhí)行:順序執(zhí)行:PCPC先先+1+1,再用轉(zhuǎn)移地址修改,再用轉(zhuǎn)移地址修改PCPC微命令微命令發(fā)生器發(fā)生器PCPC轉(zhuǎn)移執(zhí)行轉(zhuǎn)移執(zhí)行:3.3.指令寄存器指令寄存器IRIR功能:功能:存放現(xiàn)行指令。存放現(xiàn)行指令。決定操決定操作性質(zhì)作性質(zhì)操作碼字段操作碼字段操作數(shù)地址操作數(shù)地址轉(zhuǎn)移地址轉(zhuǎn)移地址PCPC微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成D尋尋來自來自M送送M或或ALU+1送送M微命令

32、微命令發(fā)生器發(fā)生器PCPC IR IR地址碼字段地址碼字段譯碼器譯碼器微命令發(fā)生器微命令發(fā)生器地址形成部件地址形成部件尋尋D2022-2-3584.4.狀態(tài)寄存器狀態(tài)寄存器PSWPSW功能:功能: 指示程序運行方式,反映程序運行結(jié)果。指示程序運行方式,反映程序運行結(jié)果。PCPC微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成D尋尋來自來自M送送M或或ALU+1送送M微命令微命令發(fā)生器發(fā)生器PCPC IR IR PSW PSW工作方式工作方式 優(yōu)先級優(yōu)先級 T T S Z V CS

33、 Z V C15 12 11 8 7 6 5 4 3 2 1 015 12 11 8 7 6 5 4 3 2 1 02022-2-359C=1 C=1 進位進位 V=1 V=1 溢出溢出Z=1 Z=1 結(jié)果為結(jié)果為0 0S=1 S=1 結(jié)果為負結(jié)果為負T=1T=1, 執(zhí)行跟蹤程序執(zhí)行跟蹤程序(1 1)條件碼)條件碼反映程序運行結(jié)果反映程序運行結(jié)果工作方式工作方式 優(yōu)先級優(yōu)先級 T S Z V CT S Z V C15 12 11 8 7 6 5 4 3 2 1 015 12 11 8 7 6 5 4 3 2 1 0(2 2)跟蹤標志)跟蹤標志為程序查錯設(shè)置的斷點標志為程序查錯設(shè)置的斷點標志T

34、T。程序初始化置T為1.測試T跟蹤程序.2022-2-3605.5.時序線路時序線路功能:功能: 控制操作時間和操作時刻??刂撇僮鲿r間和操作時刻。PCPC微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成D尋尋來自來自M送送M或或ALU+1送送M微命令微命令發(fā)生器發(fā)生器PCPC IR IR PSW PSW 時序時序振蕩器振蕩器分頻器分頻器時鐘脈沖時鐘脈沖工作脈沖工作脈沖時鐘周期時鐘周期( (節(jié)拍節(jié)拍) )產(chǎn)生電位產(chǎn)生電位型微命令,型微命令,控制操作控制操作時間段時間段產(chǎn)生脈沖型產(chǎn)生

35、脈沖型微命令,控微命令,控制定時操作制定時操作2022-2-361PCPCPCPC微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成D尋尋來自來自M送送M或或ALU+1送送M微命令微命令發(fā)生器發(fā)生器PCPC IR IR PSW PSW 時序時序二、控制器工作過程二、控制器工作過程地址地址M M指令指令I(lǐng)RIR、譯碼、譯碼尋址方式尋址方式PC+1PC+1PCPC2022-2-362取數(shù)取數(shù)( (按尋址方式按尋址方式) )立立: :PCPC微命令微命令發(fā)生器發(fā)生器微命令序列微命令序列I

36、/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成D尋尋來自來自M送送M或或ALU+1送送M微命令微命令發(fā)生器發(fā)生器PCPC IR IR PSW PSW 時序時序指令指令操作數(shù)操作數(shù)有效地址有效地址M M, ,取數(shù)取數(shù)R R, ,取數(shù)取數(shù)直直: :寄存器號寄存器號間間: :間接地址間接地址M,M,取有效地址取有效地址寄存器號寄存器號R R, ,取有效地址取有效地址變變: :形式地址形式地址變址量變址量運算器運算器計算有效計算有效地址地址2022-2-363執(zhí)行執(zhí)行( (按操作碼按操作碼) )PCPC微命令微命令發(fā)生器發(fā)生器微命

37、令序列微命令序列I/OI/O狀態(tài)狀態(tài)控制臺信息控制臺信息運行狀態(tài)運行狀態(tài)譯碼譯碼. PSW PSW 時序時序 IR IR地址形成地址形成D尋尋來自來自M送送M或或ALU+1送送M微命令微命令發(fā)生器發(fā)生器PCPC IR IR PSW PSW 時序時序結(jié)果結(jié)果存儲器存儲器/ /寄存器寄存器操作數(shù)操作數(shù)加法器加法器操作數(shù)操作數(shù)2022-2-3641.1.組合邏輯控制器的時序劃分組合邏輯控制器的時序劃分三、時序控制方式三、時序控制方式即時序信號與操作的關(guān)系即時序信號與操作的關(guān)系 采用三級時序系統(tǒng):采用三級時序系統(tǒng):指令周期指令周期工作周期工作周期1 1工作周期工作周期2 2工作周期工作周期n n時鐘周

38、期時鐘周期1 1時鐘周期時鐘周期2 2時鐘周期時鐘周期m m.工作脈沖工作脈沖1 1工作脈沖工作脈沖2 2工作脈沖工作脈沖k k.( (節(jié)拍節(jié)拍1)1)( (節(jié)拍節(jié)拍2)2)( (節(jié)拍節(jié)拍m)m)2022-2-365 時序關(guān)系:時序關(guān)系:晶振輸出晶振輸出工作周期工作周期1 1工作周期工作周期2 2工作周期工作周期3 3時鐘時鐘T1T1工作脈沖工作脈沖P P時鐘時鐘T2T2指令周期指令周期控制不同階段操作時間控制不同階段操作時間控制分步操作時間控制分步操作時間對微操作定時對微操作定時取指取指執(zhí)行執(zhí)行取數(shù)取數(shù)取出指令取出指令修改修改PC打入打入IR打入打入PC3.4.4微程序控制器微程序控制器 其

39、實質(zhì)是用程序設(shè)計的思想方法來組織操作控制邏輯。其實質(zhì)是用程序設(shè)計的思想方法來組織操作控制邏輯。組合邏輯組合邏輯電路一經(jīng)實現(xiàn),不能變動其邏輯關(guān)系,必要時,電路一經(jīng)實現(xiàn),不能變動其邏輯關(guān)系,必要時,必須改變其連線或重新設(shè)計。必須改變其連線或重新設(shè)計。微程序控制方法微程序控制方法:把指令執(zhí)行所需要的所有控制信號存:把指令執(zhí)行所需要的所有控制信號存放在控制存儲器中,需要時從這個存儲器中讀取,放在控制存儲器中,需要時從這個存儲器中讀取,存儲存儲邏輯邏輯可以修改可以修改ROM存放的數(shù)據(jù)存放的數(shù)據(jù),從而修改邏輯功能從而修改邏輯功能,速度速度略慢略慢,有一個尋址和讀數(shù)據(jù)的過程有一個尋址和讀數(shù)據(jù)的過程.微程序控

40、制的特點微程序控制的特點:靈活性好,速度慢:靈活性好,速度慢微程序控制的基本概念微程序控制的基本概念1.微命令與微操作微命令與微操作 微命令:微命令:構(gòu)成控制信號序列的最小單位。構(gòu)成控制信號序列的最小單位。 微操作微操作:控制器中執(zhí)行部件接受微指令后所進行的操作。:控制器中執(zhí)行部件接受微指令后所進行的操作。2.微指令和微程序微指令和微程序 微指令:微指令:在機器的一個節(jié)拍中在機器的一個節(jié)拍中,一組實現(xiàn)一定操作功能的微命令一組實現(xiàn)一定操作功能的微命令, 即即微命令組合。微命令組合。 微程序:微程序:由微指令組成的序列稱為微程序由微指令組成的序列稱為微程序,一個微程序的功能對應(yīng)一一個微程序的功能對

41、應(yīng)一條機器指令的功能條機器指令的功能. 3.機器指令與微指令機器指令與微指令機器指令指提供給使用者編成的基本單位機器指令指提供給使用者編成的基本單位,如每一條指令可以完成一如每一條指令可以完成一個獨立的算術(shù)運算或邏輯運算操作個獨立的算術(shù)運算或邏輯運算操作. 一條機器指令對應(yīng)一組微指令組成的微程序一條機器指令對應(yīng)一組微指令組成的微程序.可見可見,一條機器指令對一條機器指令對應(yīng)多條微指令應(yīng)多條微指令,而一條微指令可為多個機器指令服務(wù)而一條微指令可為多個機器指令服務(wù)4.控制存儲器控制存儲器CM(Control Memory): 用于存放全部指令的所有微程序用于存放全部指令的所有微程序,采用只讀存儲器

42、結(jié)構(gòu)采用只讀存儲器結(jié)構(gòu)(固化固化).控制控制存儲器的字長等于微指令的長度存儲器的字長等于微指令的長度,其總?cè)萘繘Q定于所有微程序的總長其總?cè)萘繘Q定于所有微程序的總長度度.5.微指令周期微指令周期:從控制存儲器中讀取一條微指令并執(zhí)行這條微指令所需從控制存儲器中讀取一條微指令并執(zhí)行這條微指令所需的時間的時間,通常一個微指令周期與一個通常一個微指令周期與一個CPU周期的時間相等周期的時間相等.微指令中的微指令中的微命令可以用節(jié)拍脈沖來同步定時微命令可以用節(jié)拍脈沖來同步定時.T1T2T3T4T1T2T3T4讀微指令讀微指令執(zhí)行微指令執(zhí)行微指令微指令周期微指令周期CPU周期周期 CPU周期與微指令周期的關(guān)系周期與微指令周期的關(guān)系微周期微周期子周期子周期T1T2T3T4多周期節(jié)拍脈沖多周期節(jié)拍脈沖 微程序控制器的基本結(jié)構(gòu)和工作過程微程序控制器的基本結(jié)構(gòu)和工作過程一、基本組成一、基本組成1 1、控制存儲器、控制存儲器CMCM用來存放微程序。用來存放微程序。2 2、微指令寄存器、微指令寄存器 IRIR用來存放從控制存儲器中取得的微指令。用來存放從控制存儲器中取得的微指令。3 3、微地址形成部件

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論