基于VHDL的自動售貨機設(shè)計_第1頁
基于VHDL的自動售貨機設(shè)計_第2頁
基于VHDL的自動售貨機設(shè)計_第3頁
基于VHDL的自動售貨機設(shè)計_第4頁
基于VHDL的自動售貨機設(shè)計_第5頁
已閱讀5頁,還剩5頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、北華大學(xué)學(xué)院:電氣信息工程學(xué)院專業(yè)班級:通信工程姓名:閆夢迪學(xué)號:指導(dǎo)老師:董勝實習(xí)時間:2015.11.3012.11目錄1 .實習(xí)題目P32 .實習(xí)目的P33 .實習(xí)技術(shù)要求P34 .實現(xiàn)過程P35 .功能及代碼P56 .仿真及硬件測試P97 .實習(xí)心得P128 .教師評語P14一.實習(xí)題目自動售貨機設(shè)計二.實習(xí)目的通過本課題的研究,可以掌握電子控制系統(tǒng)的基本原理與其實現(xiàn),通過對各個模塊的編程及其仿真,對QuartusII軟件的使用有所了解。每個波形模塊的VHD5現(xiàn)加深了硬件描述語言的學(xué)習(xí)。最終的頂層文件的調(diào)試,更是體現(xiàn)了綜合知識的運用。三.實習(xí)技術(shù)要求本設(shè)計要實現(xiàn)自動售貨機的基本功能,如

2、貨物信息存儲、商品選擇、進程控制、硬幣處理、余額計算、顯示等功能。利用軟件QuartusII平臺,采用VHDLM件描述語言編程的設(shè)計方法設(shè)計系統(tǒng)核心電路的硬件程序,與一般的軟件開發(fā)不同,VHD端程更需要熟悉一些底層的硬件知識,特別是數(shù)字邏輯電路的設(shè)計,因此VHD編程的方法、思路都要更多的與硬件電路聯(lián)系起來。完成系統(tǒng)各個功能模塊的硬件程序設(shè)計后,在軟件平臺上進行編譯和電路仿真,最后生成的目標文件下載到實驗臺內(nèi)的FPG出片以實現(xiàn)該系統(tǒng),并在實驗臺上對設(shè)計進行驗證。四.實現(xiàn)過程1)、根據(jù)設(shè)計要求實現(xiàn)過程分兩部分:a、面向客戶端模塊設(shè)計基于Quartus2軟件環(huán)境下,應(yīng)用VHEDL語言進行程序設(shè)計。以

3、clk輸入端口進行商品選擇,coin1輸入端口做錢數(shù)輸入,F(xiàn)inish輸入端口做確認,進而完成商品購買。b、面向個體端模塊設(shè)計以Get端口進行對商品價格修改的確認,以ST端口進行選擇要修改的商品種類,coin。作為單價輸入端口。2)、整體結(jié)構(gòu)框圖顯示功能:顧客商品選擇、付錢購買、找零,個體商戶對商品種類及價格的修改,商品數(shù)量統(tǒng)計代碼:libraryieee;useieee.std_logicarith.all;useieee.std崖矗_刑64麻;一useieee.std_logic_unsigned.all;entityS1isport(clk:instd_logic;-r,set,st,g

4、et,finish:instd_logic;-coin0,coin1:instd_logic_vector(3downto0);-act0,act1:outstd_logic_vector(3downto0);-y0,y1,y2,y3,y4:outstd_logic_vector(6downto0);商品價格、找零顯親數(shù)據(jù)一endS1;architecturebehavofS1issignalitem0,item1:std_logic_vector(1downto0);-signalQ:INTEGERRANGE20DOWNTO0;signalpri0,pri1,pri2,pri3:std_lo

5、gic_vector(3downto0);signalquan0:integer:=9;系統(tǒng)時鐘設(shè)定、買、選擇、完成信號輸入錢數(shù)顯示、開關(guān)信號輸入錢數(shù)、商品種類找零signalquan1:integer:=9;signalquan2:integer:=9;signalquan3:integer:=9;-數(shù)量begin-設(shè)定部分com0:process(get,st,coin0)variableC:INTEGERRANGE20DOWNTO0;beginifget=1thenifsteventandst=1thenitem0=item0+1;-對商品進行shezhiifitem0=00thenac

6、t0=1000;pri0=coin0;C:=CONV_INTEGER(coin0);-shedingshi,自動售貨機對4種商品的操作elsifitem0=01thenact0=0100;pri1=coin0;C:=CONV_INTEGER(coin0);elsifitem0=10thenact0=0010;pri2=coin0;C:=CONV_INTEGER(coin0);elsifitem0=11thenact0=0001;pri3=coin0;C:=CONV_INTEGER(coin0);endif;endif;elseact0y3y3y3y3y3y3y3y3y3y3y3=1111111

7、;endcase;endprocesscom0;com1:process(coin1,clk,Q)-購買部分variableA,B,D:INTEGERRANGE20DOWNTO0;beginifclkeventandclk=1thenitem1=item1+1;-對商品進行循環(huán)選擇ifitem1=00thenact1=1000;B:=CONV_INTEGER(pri0);-購買時,自動售貨機對4種商品的操作elsifitem1=01thenact1=0100;B:=CONV_INTEGER(pri1);elsifitem1=10thenact1=0010;B:=CONV_INTEGER(pri

8、2);elsifitem1=11thenact1=priOthenQ=A-B;D:=quanO-1;quanO=pri1thenQ=A-B;D:=quan1-1;quan1=pri2thenQ=A-B;D:=quan2-1;quan2=pri3thenQ=A-B;D:=quan3-1;quan3=quan3-1;elseQ=A-B;endif;endif;ifset=1theniteml=00;act1=OOOO;Qy0y0y0y0y0y0y0y0y0y0y0y1y1y1y1y1y1y1y1y1y1y1y2y2y2y2y2y2y2y2y2y2y2y4y4y4y4y4y4y4y4y4y4y433

9、B RB 10010 41)S KB W.pq.i r i_皿.1IMastei Time BatG.725m州口以 33-:1CI.卒 3 純翠 330,73 2 仙gfs3.725 Tit00OOQ1X0口 如 一期1LX 面OUOOX oooiooh XDIDO_r0000ocioo1000LOODOlOCnioo:laoomn X 旦 11 口正X 01 CO 100y 口ilOOOQ-K 0仇1口而JCXIOCKIIS10000001 皿0???018100Woonooir0100100 n : 14)、硬件測試1.get=1設(shè)定內(nèi)部價格2 .隱藏內(nèi)部設(shè)定價格3 .輸入8元單價3元找

10、零5元顯示剩余數(shù)量8個7 .實習(xí)心得在這次實驗中,通過老師的介紹和我們自己的學(xué)習(xí),我們學(xué)會了VHD理序的基本語法和操作,熟悉了程序的基本結(jié)構(gòu)和設(shè)計,學(xué)會了quartus軟件的操作和系統(tǒng)的功能仿真。我們小組的組員進行了合理的分工和良好的溝通,這對程序的實驗十分重要。在這次的程序設(shè)計中,通過實驗我們完成了程序,達到了學(xué)習(xí)的目的。經(jīng)過這次對自動售貨機系統(tǒng)的設(shè)計,讓我對ED也計有了更一步的認識,同時也對EDA門課程有了更深入的了解。這次設(shè)計讓我了解了關(guān)于自動售貨機的原理與設(shè)計理念,要設(shè)計一個電路先進行軟件模擬仿真再進行實際的硬件電路測試。當然這個設(shè)計存在許多的不足,比如在預(yù)覽商品時只能順序瀏覽,而不能隨機點擊瀏覽,這也是為了排除程序的糅雜。并且每次賣完一種商品都得復(fù)位,不能進行連續(xù)的購買,而且在購買時遇到的情況考慮不周到。這次設(shè)計提高了我們的邏輯思維能力,使我們在程序的改寫和運用上有了很大的進步。加深了我們對VHDL1件語言的認識,進一步增進了對一些常見器件的了解。另外,我們還更加充分的認識到,ED陽門課程在科學(xué)發(fā)展中的至關(guān)重要性。查閱參考書的獨立思考的能力以及培養(yǎng)非常重要,我們在設(shè)計電路時,遇到很多不理解的東西,有的我們通過查閱參考書弄明白,有的通過網(wǎng)絡(luò)查到,但由于時間和資

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論