帶時(shí)間限制及聲光提示的搶答器設(shè)計(jì)報(bào)告_第1頁
帶時(shí)間限制及聲光提示的搶答器設(shè)計(jì)報(bào)告_第2頁
帶時(shí)間限制及聲光提示的搶答器設(shè)計(jì)報(bào)告_第3頁
帶時(shí)間限制及聲光提示的搶答器設(shè)計(jì)報(bào)告_第4頁
帶時(shí)間限制及聲光提示的搶答器設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩16頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、基于STC89C52單片機(jī)的帶時(shí)間及聲光提示的搶答器設(shè)計(jì)組長:常松 組員:高強(qiáng)摘要:本系統(tǒng)是基于ST89C52單片機(jī)帶時(shí)間和聲光提示的搶答器,它由控制核心ST89C52單片機(jī)、選手按鍵、主持人按鍵、聲光提示和LED數(shù)碼顯示等部分組成。選手按鍵和主持人按鍵均由獨(dú)立按鍵構(gòu)成,采用掃描方式工作;聲光提示部分由無源蜂鳴器提供聲音提示,光提示由發(fā)光二極管提供。LED數(shù)碼顯示部分則是由普通發(fā)光二極管構(gòu)成的數(shù)碼管。關(guān)鍵詞:STC89C52單片機(jī) 按鍵 無源蜂鳴器 發(fā)光二極管一、 設(shè)計(jì)任務(wù)與要求(1) 設(shè)計(jì)一個(gè)智力競賽搶答器,可同時(shí)供8名選手或8個(gè)代表隊(duì)參加比賽,編號(hào)為1、2、3、4、5、6、7、8,各用一個(gè)

2、按鈕。(2) 給節(jié)目主持人設(shè)置5個(gè)控制開關(guān),用來控制系統(tǒng)的清零和搶答的開始及各種時(shí)間的調(diào)節(jié)控制。(3) 搶答器具有數(shù)據(jù)鎖存功能、顯示功能和聲光提示功能。(4) 主持人可以通過兩個(gè)時(shí)間調(diào)節(jié)鍵來調(diào)節(jié)搶答限制時(shí)間和答題時(shí)間限制時(shí)間。需在主持人按下?lián)尨痖_始后方可開始,且各個(gè)環(huán)節(jié)有相應(yīng)的時(shí)間限制。(5) 顯示部分用LED組成的模擬數(shù)碼管來顯示數(shù)字。二、 方案設(shè)計(jì)與論證 2.1 總體方案設(shè)計(jì)方案一:基于邏輯數(shù)字電路搶答器的設(shè)計(jì)定時(shí)搶答器的總體框圖如下圖2.1所示,它由主體電路和擴(kuò)展兩部分組成。主體電路完成基本的搶答功能,即開始搶答后,當(dāng)選手按動(dòng)搶答鍵時(shí),能顯示選手的編號(hào),同時(shí)能封鎖輸入電路,禁止其他選手搶

3、答。擴(kuò)展電路完成定時(shí)搶答的功能。圖 方案一結(jié)構(gòu)圖 系統(tǒng)各部分采用中小規(guī)模集成數(shù)字電路,用機(jī)械開關(guān)按鈕作為控制開關(guān),完成搶答輸入信號(hào)的觸發(fā)。該方案的特點(diǎn)是中小規(guī)模集成電路應(yīng)用技術(shù)成熟,性能可靠,能方便的完成選手搶答的基本功能,但是由于系統(tǒng)功能要求較高,所以電路連接集成電路相對較多,而且過于復(fù)雜,并且制作過程比較繁瑣,使用不方便。方案二:基于ST89C52單片機(jī)控制的搶答器的設(shè)計(jì)器 搶答器的控制核心是STC89C52單片機(jī),用查詢式鍵盤進(jìn)行搶答。通過搶答按鍵模塊,連接按鍵進(jìn)行搶答。實(shí)現(xiàn)功能的框圖如下所示,按下開始按鈕,此時(shí)進(jìn)入搶答狀態(tài),選手的輸入采用掃描式的輸入,之后由相關(guān)的信息由單片機(jī)處理,送到

4、顯示部分顯示。此時(shí)如果有人第一個(gè)按下相應(yīng)的按鍵,經(jīng)過單片機(jī)的處理選擇,顯示相應(yīng)的號(hào)碼,并鎖存,不再響應(yīng)其它按鍵輸入。主持人系統(tǒng)有開始按鍵,限時(shí)開始按鍵,搶答時(shí)間調(diào)節(jié)按鍵,限時(shí)時(shí)間調(diào)節(jié)按鍵。選手系統(tǒng)有搶答按鈕,計(jì)時(shí)顯示,聲光提示等。 ST89C52控制器復(fù)位電路主持人按鍵聲光提示選手按鍵數(shù)碼顯示 圖 方案二結(jié)構(gòu)圖由以上可知,方案一結(jié)構(gòu)太復(fù)雜,成本過高,而方案二編程簡單,易于制作。所以選用方案二。2.2各個(gè)模塊方案設(shè)計(jì) 核心控制器(1)方案一由CPLD、FPGA來作主控制器。其特點(diǎn)是具有用戶可編程的特性,其可靈活的配置IO端口,方便的進(jìn)行硬件功能設(shè)置。具有靜態(tài)可重復(fù)編程或在線動(dòng)態(tài)重構(gòu)特性,使硬件的

5、功能象軟件一樣通過編程來修改,不僅使設(shè)計(jì)修改和產(chǎn)品升級(jí)變得十分方便,而且極大地提高了電子系統(tǒng)的靈活性和通用能力。(2)方案二 由單片機(jī)STC89C52進(jìn)行控制,實(shí)現(xiàn)相關(guān)功能。STC89C52 具有以下標(biāo)準(zhǔn)功能: 8K 字節(jié) Flash ,256 字節(jié) RAM,32 位 I/O 口線,還可擴(kuò)展外部存儲(chǔ)器。編程容易實(shí)現(xiàn),外圍電路較為簡單。 大規(guī)??删幊踢壿嬈骷话闶鞘褂脿顟B(tài)機(jī)方式來實(shí)現(xiàn),即所解決的問題都是規(guī)則的有限狀態(tài)轉(zhuǎn)換問題。本系統(tǒng)狀態(tài)較多,難度較大。另外系統(tǒng)沒有其它高標(biāo)準(zhǔn)的要求,基于成本及控制功能的考慮,最終選擇了STC89C52這個(gè)比較普通單片機(jī)來實(shí)現(xiàn)系統(tǒng)設(shè)計(jì)。 按鍵模塊(1)方案一 獨(dú)立鍵

6、盤,鍵盤接口中使用多少根IO線,鍵盤中就有幾個(gè)按鍵,這種類型的鍵盤,在按鍵比較少和IO口資源不緊張時(shí)使用。在工作中鍵盤的各個(gè)按鍵互不干擾。因此可以根據(jù)實(shí)際需要對鍵盤中的按鍵靈活的編碼。如圖.1 圖.1 (2)方案二 采用矩陣式鍵盤,矩陣式鍵盤的特點(diǎn)是把檢測線分成兩組,一組為行線,一組列線,按鍵放在行線和列線的交叉點(diǎn)上,編程實(shí)現(xiàn)較復(fù)雜,但占用IO口較少。這種形式的鍵盤結(jié)構(gòu),能夠有效的提高單片機(jī)IO口的利用率,適用于按鍵輸入多的情況,如圖.2。圖.2 矩陣鍵盤顯示模塊 (1)方案一 采用點(diǎn)陣組成的LED屏,亮度高而且組裝也容易,但編程復(fù)雜,需要較多的驅(qū)動(dòng)芯片,因而成本高,不適合一般的制作。 (2)

7、方案二 采用普通發(fā)光二極管按一定順序排列構(gòu)成數(shù)碼管,雖然構(gòu)成的LED燈數(shù)目多,但結(jié)構(gòu)還是較簡單,制作也較容易而且單片機(jī)控制也方便,成本也不是很高,因而出于成本和控制的考慮,選用方案二。三、 硬件單元電路設(shè)計(jì)與參數(shù)計(jì)算3.1單片機(jī)控制電路 圖3.1 單片機(jī)控制電路 單片機(jī)控制部分的電路圖如上,晶振頻率為12M,P0口接上拉電阻。3.2按鍵電路 圖3.2 按鍵電路 按鍵部分如上圖所示,選手按鍵由P1口控制,共有8個(gè)按鍵;主持人按鍵有四個(gè)按鍵,由P3口控制。全部按鍵采用獨(dú)立式鍵盤。3.3聲光提示電路 圖3.3 聲光提示電路 聲音提示部分由無源蜂鳴器和PNP三極管構(gòu)成,給其1個(gè)脈沖,它就會(huì)發(fā)出聲響;光

8、提示部分由發(fā)光二極管和限流電阻構(gòu)成。3.4數(shù)碼顯示電路 圖3.4 數(shù)碼顯示電路 此部分如上圖所示,由140個(gè)發(fā)光二極管和28個(gè)構(gòu)成,每兩列二極管由單片機(jī)的一個(gè)口控制。3.5 有關(guān)參數(shù)的計(jì)算在軟件編程過用到了定時(shí)/計(jì)數(shù)器0和定時(shí)/計(jì)數(shù)1,定時(shí)器1控制有人按下?lián)尨鹌鲿r(shí)“嘟”的哪一聲響,其他的則由定時(shí)器0處理。定時(shí)器0和1的定時(shí)溢出時(shí)間都為20毫秒。定時(shí)/計(jì)數(shù)器0和1都工作在方式1,即16加1計(jì)數(shù)器,分為兩個(gè)8位的寄存器,定時(shí)20毫秒的計(jì)算公式為TH0=(65536-2000)/256; TL0=(65536-2000)%256;TH1=(65536-2000)/256;TL1=(65536-200

9、0)%256;TH0和TH1分別表示定時(shí)器0和1在工作方式1時(shí)的高8位寄存器,TL0和TL1則為低8位寄存器,因?yàn)槎〞r(shí)器0和1是加1計(jì)數(shù)器,是一直加到65536溢出,變?yōu)?,所以給寄存器裝入的是和65536相差2000的初值,晶振為12M,12分頻后,頻率為1M,也就是周期為1微秒,即為單片機(jī)每執(zhí)行1條指令所用的時(shí)間,執(zhí)行2000次加1,則就是2000乘以1微秒等于20毫秒。四、 軟件設(shè)計(jì)與流程圖 上電復(fù)位后顯示模塊顯示“F”,程序開始對系統(tǒng)進(jìn)行初始化。開始搶答后,若沒有選手按動(dòng)搶答按鈕則開始9秒的倒計(jì)時(shí),直到搶答限制時(shí)間到,進(jìn)入下一輪的搶答。若有選手按動(dòng)搶答按鈕,編號(hào)立即鎖存,并在顯示模塊選

10、手的編號(hào),且伴隨聲音提示。在開始鍵沒按下時(shí),有人按了搶答器,則該人違規(guī),數(shù)碼管顯示號(hào)碼,與此同時(shí)LED亮,表示有人違規(guī)。其他人再按下時(shí)則不響應(yīng),優(yōu)先響應(yīng)第一個(gè)。有人違規(guī)及有人搶答時(shí)會(huì)發(fā)出“嘟”的一聲。當(dāng)搶答時(shí)間或答題時(shí)間快到時(shí)會(huì)響3下。程序流程圖如下:開始初始化開始鍵按下?調(diào)時(shí)鍵按下?倒計(jì)時(shí)時(shí)間到選手按鍵按下判斷是哪位選手先按下,顯示選手號(hào)并給出聲音提示選手是否作答?按下復(fù)位鍵加1S選手違規(guī)?聲光報(bào)警并顯示違規(guī)選手號(hào)按開始鍵按下限時(shí)開始鍵開始計(jì)時(shí)時(shí)間到Y(jié)NNYNNYNYY 圖四 程序流程圖 五、 總電路原理圖及元器件清單5.1總原理圖 總原理圖如下: 圖5.1 系統(tǒng)總原理圖5.2 PCB圖圖5

11、.2 系統(tǒng)整體PCB圖5.3 整體電路仿真圖以及仿真結(jié)果分析 仿真開始后,由發(fā)光二極管組成的顯示電路顯示“F”,當(dāng)開始鍵按下后,便開始依次顯示倒計(jì)時(shí)90,如果有選手鍵按下,則顯示是幾號(hào)按下。按下限時(shí)開始鍵后,便是倒計(jì)時(shí)9秒;在開始鍵沒按下時(shí),有人近按了搶答器,則也顯示違規(guī)的號(hào)碼。有人違規(guī)及有人搶答時(shí)蜂鳴器會(huì)發(fā)出“嘟”的一聲。當(dāng)搶答時(shí)間或答題時(shí)間快到時(shí)會(huì)響3下。如果不在三極管的基極加上一個(gè)電阻,則單片機(jī)的IO口無法輸出電平,無法控制三極管的導(dǎo)通或截止;當(dāng)三極管的C極和E極反過來時(shí),LED燈的亮度很暗,這是因?yàn)槿龢O管的反向電流比較小的緣故。 圖5.3 系統(tǒng)仿真圖5.4 元件清單表5-4 帶時(shí)間及聲

12、光提示的搶答器元器件清單元件名稱型號(hào)數(shù)量/個(gè)用途元件名稱型號(hào)數(shù)量/個(gè)用途單片機(jī)STC89C521控制核心按鍵8選手輸入晶振12M1晶振電路按鍵5主持人輸入,復(fù)位鍵電容30pF2晶振電路排阻10K1上拉電阻電阻10K1復(fù)位電路發(fā)光二極管143電源指示電路,顯示電路電阻2001蜂鳴器控制電路電解電容10uF/16V1復(fù)位電路電阻4702光提示電路電解電容220uF/25V1濾波電路電阻4701電源指示電路六、 安裝與調(diào)試電路使用覆銅板焊接和安裝,由于元器件都安裝在同一面,需要屏蔽掉電阻和三極管等器件;調(diào)試分軟件調(diào)試和硬件調(diào)試,軟件調(diào)試使用Keil軟件,使用該軟件可單步調(diào)試,軟件調(diào)試一般包括分塊調(diào)試

13、和聯(lián)機(jī)調(diào)試兩個(gè)階段。程序的分塊調(diào)試一般在單片機(jī)開發(fā)板上運(yùn)行,也可配合相應(yīng)的硬件模塊單獨(dú)運(yùn)行某個(gè)程序塊,然后檢查是否正確,如果不是預(yù)期的結(jié)果,可以通過單步運(yùn)行或設(shè)置斷點(diǎn)的方法,查出原因并加以改正,直到結(jié)果正確為止。聯(lián)機(jī)調(diào)試就是將已調(diào)好的完整的程序在全部的硬件電路上運(yùn)行??词欠窠Y(jié)果是否正確,如不正確,則檢查軟件是否能實(shí)現(xiàn)所需要的功能,重新修正,直到結(jié)果正確為止。硬件調(diào)試主要檢查電路是否連接正確,在保證電路無錯(cuò)連,開路,斷路的情況下和軟件配合調(diào)試,看是否能實(shí)現(xiàn)預(yù)期的功能。七、 性能測試與分析1 上電復(fù)位后,顯示部分顯示字符“F”,按下開始鍵后,有9秒的搶答時(shí)間,如果無人搶答,則恢復(fù)顯示“F”。2 如

14、果上電后沒按開始鍵,這時(shí)如果有人按下?lián)尨鹌?,則是違規(guī),也顯示違規(guī)的號(hào)碼,與此同時(shí)黃燈亮。3 搶答時(shí)間和限時(shí)時(shí)間由搶答時(shí)間調(diào)節(jié)鍵和限時(shí)時(shí)間調(diào)節(jié)鍵調(diào)節(jié),可從09調(diào)節(jié)。4 第一個(gè)選手搶答后,單片機(jī)會(huì)鎖存這個(gè)編號(hào),不再響應(yīng)其他選手的搶答。5 有人搶答成功后,在顯示部分顯示相應(yīng)的號(hào)碼,按下限時(shí)開始鍵,將會(huì)進(jìn)行最大為9秒的倒計(jì)時(shí)。6 開始鍵、答題限時(shí)鍵按下,有人違規(guī)及有人搶答時(shí)會(huì)發(fā)出“嘟”的一聲。7 當(dāng)搶答時(shí)間或答題時(shí)間快到時(shí)會(huì)間斷響3下。 實(shí)物如圖所示。八、 結(jié)論與心得 通過這次課程設(shè)計(jì),無論是在硬件方面還是軟件方面我都得到了不少的收獲。經(jīng)過這一個(gè)月的努力,過程曲折可謂一語難盡。在此期間我也失落過,也曾

15、一度熱情高漲。從開始時(shí)滿盛激情到最后汗水背后的復(fù)雜心情,點(diǎn)點(diǎn)滴滴無不令我回味無長。 多和同學(xué)討論,在做課程設(shè)計(jì)的過程中要不停的討論問題,這樣,可以盡可能的獲取更多的想法,這樣就不會(huì)使自己在做的過程中不會(huì)迷失方向,并且這樣也是為了方便最后程序和硬件結(jié)合在一起。討論不僅是一些思想的問題,還可以深入的討論一些技術(shù)上的問題,這樣可以使處自己處理問題要快一些。在整整一個(gè)月的日子里,可以說得是苦多于甜,但是可以學(xué)到很多很多的東西,同時(shí)不僅可以鞏固了以前所學(xué)過的知識(shí),而且學(xué)到了很多在書本上所沒有學(xué)到過的知識(shí)。通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,有時(shí)軟件仿真出來了,硬件也不一定可以實(shí)現(xiàn),只有

16、理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固。 對我而言,知識(shí)上的收獲重要,結(jié)果不一定是最重要。九、 參考文獻(xiàn) 1楊居義等 單片機(jī)課程設(shè)計(jì)指導(dǎo) 北京:清華大學(xué)出版社 2009.9:233-2452Proteus教程電子線路設(shè)計(jì)、制版與仿真/朱清慧,張鳳蕊,翟天嵩 編著。-北京:清華大學(xué)出版社,2008.9十、 致謝特別感謝我的指導(dǎo)老師彭建盛,在本系統(tǒng)開發(fā)中給予我悉心指導(dǎo),從系統(tǒng)開發(fā)到結(jié)束中過程遇到很多困難都

17、是他給我鼓勵(lì)與指引,使我能夠克服重重困難,將系統(tǒng)做完成,在此謹(jǐn)向彭建盛老師致以誠摯的謝意和崇高的敬意。我還要感謝在班里同學(xué)和朋友,感謝你們在我遇到困難的時(shí)候幫助我,給我支持和鼓勵(lì),感謝你們,謝謝!十一、 程序清單#include<reg52.h>#define uchar unsigned char#define uint unsigned intchar s;uchar num=0;char time=9;/搶答時(shí)間char datitime=9;/答題限時(shí)時(shí)間uint tt,t1;/T0,T1定時(shí)器定一秒bit flag,s_flag=1,b_flag,fall_flag;/標(biāo)

18、志位bit K_startcountflag,K_timecountflag;/時(shí)間調(diào)整標(biāo)志位sbit K0=P30;sbit beep=P37; /蜂鳴器sbit rled=P31;/指示燈sbit K1=P10;sbit K2=P11;sbit K3=P12;sbit K4=P13;sbit K5=P14;sbit K6=P15;sbit K7=P16;sbit K8=P17;sbit K_Time=P32;/答題計(jì)時(shí)鍵sbit K_startcount=P33;/開始搶答時(shí)間調(diào)整鍵sbit K_timecount=P34;/答題計(jì)時(shí)時(shí)間調(diào)整鍵void delay(uchar ms)uch

19、ar y;for(;ms>0;ms-)for(y=120;y>0;y-);uchar code tabledu=0X80,/*0*/ 0Xf2,/*1*/ 0X48,/*2*/ 0X60,/*3*/ 0X32,/*4*/ 0X24,/*5*/ 0X04,/*6*/ 0Xf0,/*7*/ 0X00,/*8*/ 0X20,/*9*/ 0x1c,/*F*/;/共陽數(shù)碼管編碼void T0_Init(void)TMOD=0X01; /定時(shí)器的工作方式TH0=(65536-2000)/256; /定時(shí)20毫秒TL0=(65536-2000)%256;TH1=(65536-2000)/256;

20、TL1=(65536-2000)%256;ET0=1;ET1=1;EA=1;P0=0;void Key_Scan(void)/開始鍵掃描if(K0=0)delay(5);if(K0=0)while(!K0);TR0=1;s=time;tt=0;flag=1;s_flag=1;b_flag=1;num=0;beep=1;rled=1;fall_flag=0;/清除違規(guī)標(biāo)志位K_startcountflag=0;K_timecountflag=0;void Scan(void)/八路熱鍵掃描(哪個(gè)鍵先按下,哪個(gè)優(yōu)先級(jí)最高)if(K1=0)delay(5);if(K1=0)while(!K1);nu

21、m=1;/數(shù)碼管顯示1號(hào)"1"TR0=0;/關(guān)閉定時(shí)器0,時(shí)間停止TR1=1;/打開定時(shí)器1,使揚(yáng)聲器響一聲s_flag=0;/關(guān)閉開始鍵標(biāo)志位,使再按其他七個(gè)鍵不會(huì)響應(yīng)if(K2=0)/下面七個(gè)鍵的處理同上delay(5);if(K2=0)while(!K2);num=2;TR0=0;TR1=1;s_flag=0;/重要if(K3=0)delay(5);if(K3=0)while(!K3);num=3;TR0=0;TR1=1;s_flag=0;if(K4=0)delay(5);if(K4=0)while(!K4);num=4;TR0=0;TR1=1;s_flag=0;if

22、(K5=0)delay(5);if(K5=0)while(!K5);num=5;TR0=0;TR1=1;s_flag=0;if(K6=0)delay(5);if(K6=0)while(!K6);num=6;TR0=0;TR1=1;s_flag=0;if(K7=0)delay(5);if(K7=0)while(!K7);num=7;TR0=0;TR1=1;s_flag=0;if(K8=0)delay(5);if(K8=0)while(!K8);num=8;TR0=0;TR1=1;s_flag=0;void display(void)if(flag=1)/開始鍵按下,開始計(jì)時(shí)搶答if(num!=0

23、)/如果有人搶答,則顯示相應(yīng)的幾號(hào)P2=tabledunum;/顯示幾號(hào)搶到了delay(250); if(K_Time=0) num=0;else/否則沒人搶答,則前面不顯示幾號(hào) delay(2); P2=tabledus; delay(250); else/如果開始鍵沒有按下,則顯示F (若有違規(guī)者,則顯示違規(guī)號(hào)碼)或時(shí)間調(diào)整if(fall_flag=1)/違規(guī)顯示if(num!=0)P2=tabledunum;/顯示幾號(hào)違規(guī)了delay(250);elseP0=0XFF;else/沒有人違規(guī)才顯示調(diào)整時(shí)間if(K_startcountflag=1)P2=tabledutime;delay

24、(250);else if(K_timecountflag=1)P2=tabledudatitime;delay(250);else/否則顯示F P2=tabledu10;delay(250);void Time_Scan(void)/調(diào)整時(shí)間鍵掃描if(K_startcount=0)/搶答時(shí)間調(diào)整delay(5);if(K_startcount=0)while(!K_startcount);time+;if(time=10)time=0;K_startcountflag=1;/將搶答時(shí)間標(biāo)志位置1K_timecountflag=0;/同時(shí)關(guān)閉答題時(shí)間標(biāo)志位if(K_timecount=0)/答題時(shí)間調(diào)整delay(5);if(K_timecount=0)while(!K_timecount);datitime+;if(datitime=10)datitime=0;K_timecountflag=1;K_startcountflag=0;void main(void)T0_Init();while(1)Key

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論