開關(guān)電源輸出濾波器設(shè)計(jì)畢業(yè)論文_第1頁
開關(guān)電源輸出濾波器設(shè)計(jì)畢業(yè)論文_第2頁
開關(guān)電源輸出濾波器設(shè)計(jì)畢業(yè)論文_第3頁
開關(guān)電源輸出濾波器設(shè)計(jì)畢業(yè)論文_第4頁
開關(guān)電源輸出濾波器設(shè)計(jì)畢業(yè)論文_第5頁
已閱讀5頁,還剩35頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、畢 業(yè) 設(shè) 計(jì)(論 文)題目:開關(guān)電源輸出濾波電路的設(shè)計(jì)與仿真(英文): The Design and Simulation of Switching Power Supply Output Filter Circuit 開關(guān)電源輸出濾波電路的設(shè)計(jì)與仿真摘要市電經(jīng)過開關(guān)電源的變換后,得到的電壓或電流并不是純直流電,總會(huì)帶有一定的紋波,這是因?yàn)殚_關(guān)電源中的電力電子器件(場效應(yīng)管、IGBT管)工作在開關(guān)狀態(tài),為了達(dá)到安規(guī)標(biāo)準(zhǔn),并降低紋波,在開關(guān)電源的輸出端需要并接一個(gè)濾波電路。開關(guān)電源中常用的是L、C濾波電路,根據(jù)不同的變換器進(jìn)行不同的組合和搭建。研究以單端正激式開關(guān)電源為例,設(shè)計(jì)一個(gè)開關(guān)電源輸出

2、濾波電路,采用Saber仿真,通過改變電感和電容的值,把輸出電壓的紋波降到最小,使得輸出電壓更加接近純直流電,最終確定了輸出紋波最小時(shí)的電感和電容的最佳值。關(guān)鍵詞:開關(guān)電源;濾波電路;Saber仿真The Design and Simulation of Switching Power Supply Output Filter Circuit ABSTRACT Key words: switch power ;filter;saber simulation目錄1概述11.1課題研究背景及目的11.2國內(nèi)外研究狀況11.3本設(shè)計(jì)研究的內(nèi)容及方法12開關(guān)電源的原理及輸出濾波電路32.1脈寬調(diào)制控制

3、器的基本原理32.2單片開關(guān)電源的構(gòu)成與基本原理52.3開關(guān)電源輸出濾波電路72.4開關(guān)方式輸出濾波器的寄生效應(yīng)72.5二級(jí)濾波器82.6高頻扼流圈實(shí)例92.7諧振濾波器112.9共模噪聲濾波器132.10輸出濾波器的濾波元件選擇原則133濾波器元器件的設(shè)計(jì)、選取與計(jì)算153.1濾波器基本要求153.2選擇L1的方法153.3正激變換器與反激變換器電感的區(qū)別153.4輸出電感L設(shè)計(jì)實(shí)例153.5輸出電容的計(jì)算164濾波器的仿真軟件簡介194.1Saber軟件概要194.2Saber發(fā)展歷程194.3Saber的優(yōu)勢194.4Saber的特點(diǎn)204.5 Saber的應(yīng)用225仿真輸出濾波電路及濾

4、波效果分析235.1設(shè)計(jì)參數(shù)及紋波要求235.2開環(huán)設(shè)計(jì)(功率電路設(shè)計(jì))235.3設(shè)計(jì)輸出濾波器245.4使用Saber軟件仿真驗(yàn)證設(shè)計(jì)265.5仿真結(jié)果分析275.6總結(jié)30參考文獻(xiàn)31致 謝32附 錄A33開關(guān)電源常用英文標(biāo)志與縮寫33 1概述1.1課題研究背景及目的圖1.1工業(yè)電源1.2國內(nèi)外研究狀況濾波器應(yīng)用在很多電子線路當(dāng)中,不但運(yùn)用在開關(guān)電源中,在通信電子線路當(dāng)中應(yīng)用相當(dāng)廣泛,具體電子產(chǎn)品,例如:手機(jī)、收音機(jī)、對(duì)講機(jī)、電視機(jī)等等.當(dāng)今研究濾波器的理論已比較深入,已形成一個(gè)工程學(xué)科,在工程運(yùn)用當(dāng)中已可以生產(chǎn)出功能完善,性能好的濾波器。1.3本設(shè)計(jì)研究的內(nèi)容及方法通過了解開關(guān)電源的基本

5、原理,在此基礎(chǔ)之上討論了關(guān)于開關(guān)電源輸出濾波器的設(shè)計(jì)方法。從不同的角度剖析輸出濾波器的工作原理、設(shè)計(jì)方法,通過反復(fù)調(diào)試以及軟件仿真,進(jìn)行最優(yōu)化設(shè)計(jì)。設(shè)計(jì)過程使用了較多的計(jì)算公式以及仿真結(jié)果圖片,站在工程應(yīng)用角度出發(fā),設(shè)計(jì)出實(shí)際應(yīng)用方便,濾波效果良好的開關(guān)電源輸出濾波電路。開關(guān)點(diǎn)源中的濾波器雖然是由電感、電容組成,有些也會(huì)加一個(gè)電阻,由于應(yīng)用場合不同、功率不同,各國安規(guī)標(biāo)準(zhǔn)不同,濾波器一般不可以模塊化、集成化,在市場上一般買不到達(dá)到設(shè)計(jì)者要求的濾波器,因此應(yīng)用于每個(gè)電子線路中都要工程人員自行設(shè)計(jì)。使用Saber軟件對(duì)要設(shè)計(jì)的濾波電路進(jìn)行設(shè)計(jì)和仿真,對(duì)仿真的結(jié)果與計(jì)算理論值相比較,看是否符合理論值

6、,倘若與計(jì)算結(jié)果有較大偏差,對(duì)電路的參數(shù)進(jìn)行修正,作為電路設(shè)計(jì)的最終設(shè)計(jì)結(jié)果。 2開關(guān)電源的原理及輸出濾波電路介紹本章的主要目的:首先明白開關(guān)電源的工作原理,在明白原理之后,清楚知道我們所設(shè)計(jì)的濾波器是用于開關(guān)電源這一應(yīng)用場合,有針對(duì)性的對(duì)濾波器進(jìn)行設(shè)計(jì)與仿真。首先理解開關(guān)電源紋波產(chǎn)生的原因,才可以設(shè)計(jì)出令人滿意的濾波器。在開關(guān)電源中噪聲大致可以分為:輸入端的共模、差模噪聲,傳遞噪聲,開關(guān)噪聲(開關(guān)管的開關(guān)噪聲、輸出肖特基二極管的開關(guān)噪聲)等等,那么設(shè)計(jì)濾波器的作用是使開關(guān)電源可以可靠、安全為最終目的。2.1脈寬調(diào)制控制器的基本原理開關(guān)電源的電路比較復(fù)雜,其基本構(gòu)成如圖2.1所示。主要由以下5

7、部分構(gòu)成:(1)輸入整流濾波器:包括從交流電到輸入整流濾波器的電路;(2)功率開關(guān)管(VT)及高頻變壓器(T);(3)控制電路(PWM控制器),含振蕩器、基準(zhǔn)電壓源(UREF)、誤差放大器和PWM比較器,控制電路能產(chǎn)生脈寬調(diào)制信號(hào),其占空比受反饋電路的控制;(4)輸出整流濾波電路;(5)反饋電路。除此之外,還需增加偏置電路、保護(hù)電路等。其中,PWM控制器為開關(guān)電源的核心。脈寬調(diào)制式開關(guān)電源的工作原理如圖2.2所示。220V交流電u首先經(jīng)過整流濾波電路,變成直流電壓U I,再由功率開關(guān)管VT斬波、高頻變壓器T降壓,得到高頻矩形波電壓,最后通過整流濾波后獲得所需的直流輸出電壓Uo。PWM控制器能產(chǎn)

8、生頻率固定而脈沖寬度可調(diào)的驅(qū)動(dòng)信號(hào),控制功率開關(guān)管的通、斷狀態(tài),進(jìn)而調(diào)節(jié)輸出電壓的高低,達(dá)到穩(wěn)壓目的鋸齒波發(fā)生器用于提供時(shí)鐘信號(hào)。利用取樣電阻、誤差放大器和PWM比較器形成閉環(huán)調(diào)節(jié)系統(tǒng)。輸出電壓Uo經(jīng)R1、R2取樣后,送至誤差放大器的反相輸入端,與加在同相輸入端的基準(zhǔn)電壓Uref進(jìn)行比較,得到誤差電壓Ur,再用Ur的幅度去控制PWM比較器輸出的脈沖寬度,最后經(jīng)過功率放大和降壓式輸出電路使Uo保持不變。Uj為鋸齒波發(fā)生器的輸出信號(hào)。需要指出,取樣電壓通常是接誤差放大器的反相輸入端,但也有的接同相輸入端,這與誤差放大器另一端所輸入端所輸入的鋸齒波電壓極性有關(guān)。一般情況下當(dāng)輸入的鋸齒波電壓為正極性時(shí)

9、,取樣電壓接反相輸入端;輸入的鋸齒波電壓為負(fù)極性時(shí),取樣電壓接同相輸入端(下同)。圖2.1開關(guān)電源基本工作原理圖2.2脈寬調(diào)制式開關(guān)電源的工作原理令直流輸入電壓為UI,開關(guān)式穩(wěn)壓器的效率為,占空比為D,則功率開關(guān)管的脈沖幅度Up = UI ,可得到公式:Uo = D UI (2-1)這表明,當(dāng)、UI一定時(shí),只要改變占空比,即可自動(dòng)調(diào)節(jié)Uo值。當(dāng)Uo由于某種原因而升高時(shí),Ur - DUo。反之,若Uo降低,則UrDUo。這就是自動(dòng)穩(wěn)壓的原理。自動(dòng)穩(wěn)壓過程的波形如圖2.3(a)、(b)所示。圖中,UJ表示鋸齒波發(fā)生器的輸出電壓,Ur是誤差電壓,UPWM代表PWM比較器的輸出電壓。由圖可見,當(dāng)Uo降

10、低時(shí)UrDUo,反之,若Uo因某種原因升高,則Ur - DUo。 (a) (b)圖2.3自動(dòng)穩(wěn)壓過程的波形圖2.2單片開關(guān)電源的構(gòu)成與基本原理單片開關(guān)電源的構(gòu)成如圖2.4所示,該圖也單片開關(guān)電源印制電路板的典型布局示意圖圖2.4單片開關(guān)電源的構(gòu)成單片開關(guān)電源的基本原理如圖2.5所示。主要由以下7部分組成:(1)輸入整流濾波器,包括整流橋BR和輸入濾波電容器C1(2)單片開關(guān)電源(TOPSwitch系列產(chǎn)品),內(nèi)含功率開關(guān)管(MOSFET)和控制器(內(nèi)含振蕩器、基準(zhǔn)電壓源、誤差放大器和PWM比較器),MOSFET的漏極、源極和控制端分別為D、S和C(3)漏極箝位保護(hù)電路(VDZ1、VD1)(4)

11、高頻變壓器(T)(5)輸出整流濾波器(VD2、C2)(6)光耦反饋電路(穩(wěn)壓管VDZ2、電阻R、光耦合器)(7)偏置電路(VD3、C3),給光耦合器的光敏三極管提供偏壓 圖2.5單片開關(guān)電源的基本原理85265V交流電經(jīng)過輸入整流濾波后獲得直流高壓,接至高頻變壓器一次繞組的一端,一次繞組的另一端接MOSFET的漏極D。漏極箝位保護(hù)電路由瞬態(tài)電壓抑制器VDz1(TVS)、阻塞二極管VD1組成,當(dāng)MOSFET關(guān)斷時(shí)可將高頻變壓器漏感產(chǎn)生的尖峰電壓限制在安全范圍以內(nèi),對(duì)MOSFET的漏極起到保護(hù)作用。二次繞組的輸出電壓經(jīng)過VD2整流,再經(jīng)過C2濾波后獲得直流輸出電壓電壓Uo。為滿足高頻整流的需要,V

12、D2應(yīng)使用超快恢復(fù)二極管或肖特基二極管。該電源采用配穩(wěn)壓管的光耦反饋電路。由VDz2提供參考電壓Uz2,當(dāng)輸出電壓Uo發(fā)生波動(dòng)時(shí),在光耦合器內(nèi)部的LED上可獲得誤差電壓。因此,該電路相當(dāng)于給TOPSwitch-增加了一個(gè)外部放大器,再與內(nèi)部誤差放大器配合使用,即可對(duì)Uo進(jìn)行精細(xì)地調(diào)整。電阻R用于設(shè)定控制環(huán)路的增益。設(shè)光耦LED的正向壓降為UF,R兩端的壓降為Ur,輸出電壓由下式確定: Uo = Uz2 + UF + UR (2-2)現(xiàn)將其穩(wěn)壓原理分析如下:當(dāng)由于某種原因致使Uo,UoUz2 + UF + UR時(shí),產(chǎn)生的。誤差電壓Ur= Uo -(Uz + UF + UR)就令LED上的電流IF

13、,經(jīng)過光耦合器使接收管的發(fā)射極電流IE,進(jìn)而使TOPSwitch-的控制端電流IC,占空比D,導(dǎo)致U0,從而實(shí)現(xiàn)了穩(wěn)壓的目的。反之,UoIFIEICDUo,同樣能起到穩(wěn)壓作用。 2.3開關(guān)電源輸出濾波電路以上討論了開關(guān)電源的概況及其工作原理、噪聲產(chǎn)生機(jī)理,下面介紹輸出濾波器的設(shè)計(jì)。為了提供穩(wěn)定的直流輸出,同時(shí)也為了減少電路中的紋波和噪聲,在開關(guān)電源的輸出端通常增加如圖2.6所示的LC低通濾波器。在正激變換器中,這些濾波器實(shí)現(xiàn)兩個(gè)主要功能:第一個(gè)功能就是能夠進(jìn)行能量的存儲(chǔ),以保證開關(guān)電源在整個(gè)開關(guān)周期內(nèi)維持近似穩(wěn)定的直流電壓輸出;第二個(gè)功能可能不明顯,該功能就是把高頻諧波和共模輸出干擾減少到一個(gè)

14、可以接受的范圍內(nèi)。但現(xiàn)實(shí)這兩個(gè)功能卻是不兼容的。為了保持幾乎不變的直流電壓輸出,那么輸出電容流過的電流必須也是幾乎不變的,因此,就輸出電感元件來說要求有較大的電感。輸出電感也必須可以通過直流電流,該電感常常是較大的,可以有較多匝數(shù)。較多的匝數(shù)帶來較大的匝間電容,具有較低的自諧振頻率,此種電感元件在高于其自諧振頻率下具有較低阻抗,它不能把串?dāng)_電流的高頻分量有效的衰減。進(jìn)一步來說,主要根據(jù)能量存儲(chǔ)能力、紋波額定值而不是高頻阻抗來選擇濾波電容的。大容量的電解電容的等效串聯(lián)電阻和等效串聯(lián)電感可以是很大的。除非使用更貴的低等效串聯(lián)電阻電容器,否則輸出電容的高頻噪聲衰減可能很差。上述的寄生參數(shù)是不需要的,

15、這些寄生的等效串聯(lián)電阻和等效串聯(lián)電感以及匝間電容效應(yīng)值需要進(jìn)一步的研究。2.4開關(guān)方式輸出濾波器的寄生效應(yīng)在圖2.6 (a)顯示的是一級(jí)LC輸出濾波器,像這樣的濾波器一般可以在典型的正激變換器中找到,其中包括寄生元件Cc、Rs、等效串聯(lián)電感及等效串聯(lián)電阻。串聯(lián)的電感支路L1中給出一個(gè)純電感L和一個(gè)不可缺少的繞組電阻RS相串聯(lián),寄生的分布匝間電容作為一個(gè)整體等效電容Cc包含在其中。旁路電容C1還包括一個(gè)等效串聯(lián)電感和一個(gè)等效串聯(lián)電阻。在圖2.6 (b)中顯示的是該濾波網(wǎng)絡(luò)的低頻及中頻等效電路。Cc、等效串聯(lián)電感及等效串聯(lián)電阻在低頻時(shí)的值非常小,其影響可以忽略不計(jì)。從這個(gè)等效電路圖可以清楚看到,此

16、濾波器用作低通濾波器是有效的,適用于頻率范圍的中低頻率段。在圖2.6 (c)中顯示的是第二個(gè)高頻等效電路。在高頻端,純電感變?yōu)楦咦杩?,可去掉LRS支路,而純電容C趨向變?yōu)榱阕杩梗室部扇サ鬋。此時(shí),電路中的寄生元件起主導(dǎo)地位。這種類型的功率輸出濾波器就不能有效地衰減高頻傳導(dǎo)方式的噪音。圖2.6濾波器的寄生等效電路2.5二級(jí)濾波器如上述那樣,用一級(jí)LC濾波器要想完全達(dá)到平滑電壓和去除噪聲的要求,特別是反激式變換器設(shè)計(jì)中,就必須選用昂貴的元器件。盡管如此,也只能獲得很一般的高頻特性。在圖2.7所示一個(gè)性價(jià)比更好的帶寬濾波電路,它是一個(gè)體積更小的二級(jí)LC濾波器,用來衰減高頻噪聲。所需第二級(jí)濾波器的電

17、感和電容都很小,L2和C2可以選擇體積較小且較便宜的元件。另外,在第一級(jí)濾波器中L1和C1可以使用成本更低的電解電容和電感器,既降低了電路成本又提高和改善了電路的性能。 圖2.7二級(jí)濾波器在圖2.7中電容C1用于消除紋波電流和儲(chǔ)能的作用,它與負(fù)載電流和工作頻率有關(guān)。一般C1取的值是非常大的,但二級(jí)濾波器中使用的C1不要求具有低等效串聯(lián)電阻型的電容。第一個(gè)電感用于通過最大的負(fù)載電流,具有最小損耗,工作在不飽和狀態(tài)下。為使L1用最小的尺寸獲得最大電感值和最小的電阻值,L1應(yīng)采用多圈和多層的線圈結(jié)構(gòu)。盡管這種線圈結(jié)構(gòu)能夠帶來大的電感值,但是它也引起了相當(dāng)大的匝間電容和低自激諧振頻率。一般而言,L1合

18、適的磁芯材料包括有氣隙鐵氧體、坡莫合金、鐵粉磁環(huán)及形狀為 “E-I”的硅鋼片。L1的電感值要滿足儲(chǔ)能要求。要求第二個(gè)電感L2哎高頻下有最大的阻抗和很小的匝間電容,具有高自激諧振頻率。L2可以做成小的鐵氧體磁棒、鐵氧體纏線管、小的鐵粉磁環(huán)甚至空心線圈的形式。L2上的交流電壓值很小,為500mv數(shù)量級(jí),一個(gè)不完全磁路的輻射是相當(dāng)小的,也不會(huì)引起電磁干擾問題。鐵氧體的電感可用普通鐵氧體材料組成,因?yàn)榇髿庀犊梢苑乐勾判镜闹绷黠柡?。第二個(gè)電容C2的電容量要比C1小得多,C2要求在開關(guān)和噪聲頻率時(shí)是低阻抗的,它不是用做儲(chǔ)能的。在許多應(yīng)用場合,C2是由一個(gè)小電解電容和低感抗箔片電容和一個(gè)陶瓷電容并聯(lián)組成。L

19、1和L2是要流過大直流電流的元件,在這里更適合稱他們?yōu)槎罅魅Γ唧w實(shí)例如下所示:2.6高頻扼流圈實(shí)例為了使高頻扼流電感L2有最好的性能,L2的匝間電容應(yīng)該盡可能減小。圖2.8顯示了一個(gè)1 in長的鐵氧體磁棒扼流圈,其直徑為5/16 in,線圈用17號(hào)類制電線標(biāo)準(zhǔn)(17AGW)線緊靠在一起繞15匝。圖2.9顯示了扼流圈電感的相移和阻抗隨頻率變化的關(guān)系。在4.5MHzd的自激諧振頻率上,它的相移為零。圖2.8高頻扼流圈圖2.9扼流圈相頻特性圖2.10扼流圈相頻特性圖2.8是鐵氧體磁棒扼流圈;圖2.9是緊繞的鐵氧體磁棒扼流圈的阻抗、相移與頻率的函數(shù)關(guān)系,注意自諧振頻率為6MHz在圖2.10中的阻抗曲

20、線顯示減少匝間電容后的改進(jìn)情況。為減少匝間電容,在獲得相同扼流圈電感條件下改變扼流圈的饒法,使線圈匝間間隙隔開繞在用10毫英寸聚酯絕緣的磁棒上。圖中顯示了此扼流圈的特性曲線。在第二個(gè)例子中使用了15匝20號(hào)AGW線,在每匝線之間都有一個(gè)空隙。從這個(gè)曲線可以看出,匝間電容的減少卻導(dǎo)致了阻抗的增加,并使自激諧振頻率上移到6.5MHz。采用此種濾波器,這將有效減少高頻噪聲。有一小部分高頻干擾將經(jīng)過PCB(印制電路板)或電源引線寄生電感、耦合電容繞過濾波器,可選擇較小的電容C2并盡可能地把它裝在電源輸出端來減少這種影響。2.7諧振濾波器通過選擇合適的電容器使得其自諧振頻率接近開關(guān)管的開關(guān)頻率,使可得到

21、最好的性能。許多小型、低等效串聯(lián)電阻的電解電容具有接近開關(guān)變換器典型工作頻率的串聯(lián)自諧振頻率。在這個(gè)自諧振頻率上,電容寄生的內(nèi)部電感將與其有效的電容諧振而形成一個(gè)串聯(lián)諧振電路。這時(shí),電容的阻抗就趨于它的等效串聯(lián)電阻。在圖2.11中顯示470uF、低等效串聯(lián)電阻電容器的典型阻抗與頻率的關(guān)系曲線。這個(gè)電容在30KHz時(shí)具有19m的最小阻抗,利用在30KHz時(shí)的自諧振效應(yīng)可得到很好的紋波抑制效果。 圖2.11諧振濾波器相頻特性圖2.11典型商業(yè)級(jí)470uF電解電容的阻抗、相移與頻率的關(guān)系曲線,注意在29KHz時(shí)的自諧振頻率與最小阻抗2.8諧振濾波器實(shí)例圖2.12用在反激式變換器副邊的諧振輸出濾波器實(shí)

22、例圖2.12是一個(gè)其參數(shù)為30KHz、5V和10A的反激式變換器的典型輸出級(jí),具有兩級(jí)LC輸出濾波器。在反激式變換器中,變壓器的電感與C1組成了第一級(jí)LC電源濾波器,第二級(jí)濾波器是由L2和C2組成。在該例中,當(dāng)L2使用了前面所述的1 in長、直徑為5/16 in的鐵氧體磁棒電感器時(shí),能獲得圖2.10的圖。在此磁棒上繞15匝線圈,其匝間間隙隔開繞,可得到10uH的電感和低的匝間電容。C2采用470uF低等效串聯(lián)電阻電容器,其阻抗曲線見圖2.11。注意:在30KHz時(shí)此電容器的阻抗最小,這時(shí)相移為零。對(duì)于該電容來說,這就是串聯(lián)的自諧振頻率,見圖2.11,電阻的阻抗串聯(lián)形成一個(gè)簡單的分壓電路。因XL

23、2C2的等效串聯(lián)電阻,可以忽略這小相移。輸出紋波電壓V與第一級(jí)電容C1上的紋波電壓值V1的比值為: (2-3)因?yàn)閄L2ESR,所以衰減率Ar近似為: (2-4)式中,XL=電感的阻抗,2fL;ESR=諧振時(shí)電容器的等效串聯(lián)電阻。在頻率為30KHz時(shí),XL將是:XL=2fL=2×30××10×結(jié)果大約為1.9歐圖2.11中,在頻率30KHz時(shí),C2的等效串聯(lián)電阻是0.019歐,因此衰減率將為: 在開關(guān)頻率上,給紋波電壓帶來一個(gè)100:1的衰減。在反激式變換器中的紋波干擾主要是由開關(guān)頻率紋波造成的。利用體積小、造價(jià)低的電解電容的自諧振頻率特性會(huì)帶來40dB

24、的良好紋波衰減。在不考慮中頻瞬態(tài)反應(yīng)的情況下,能獲得提高了的高頻噪聲抑制效果,而串聯(lián)電感值卻沒有明顯增加。2.9共模噪聲濾波器到目前為止,所有的討論都限制在差模傳導(dǎo)噪聲范圍內(nèi),以前描述的濾波器對(duì)于共模噪聲是無效的,不能有效的抑制出現(xiàn)在輸出端與接地公共端的噪聲。在電源中,共模噪聲分量是由電源電路與接地平面之間的耦合電容和耦合電感引起的。一開始,在設(shè)計(jì)階段就必須通過正確的屏蔽以及良好的電路布局來把共模噪聲減少到最小值。另外,通過把L1或者L2分為兩個(gè)部分來產(chǎn)生一個(gè)平衡的濾波器,可以進(jìn)一步減少共模輸出噪聲,見圖2.13。還在每一個(gè)輸出線與地平面之間都附加了電容C3和C4,用來給剩余的共模噪聲電流提供

25、返回通路。實(shí)際上,L1(a)與C3在正輸出端形成一個(gè)低通濾波器,而L1(b)與C4在負(fù)載輸出端形成一個(gè)低通濾波器,濾波器都依靠地平面作為返回通路。 圖2.13共模噪聲濾波器大的電容C2可提供去耦作用,所以在圖3.8中C3或者C4的位置加一個(gè)共模去耦電容就能達(dá)到可以接受去耦的效果。2.10輸出濾波器的濾波元件選擇原則圖2.14 L、C濾波等效電路在圖2.14L、C濾波等效電路中,主電路的輸出電感L1與存儲(chǔ)電容C1的大小與數(shù)值取決于以下幾個(gè)因素: 變換器的類型,工作頻率,最大負(fù)載電流,最小負(fù)載電流,工作周期的占空比,紋波電流,紋波電壓,瞬態(tài)響應(yīng),輸出電壓。一般來說,應(yīng)該考慮根據(jù)該變換器的類型來對(duì)L

26、1進(jìn)行選擇 3濾波器元器件的設(shè)計(jì)、選取與計(jì)算3.1濾波器基本要求圖3.1 L、C濾波電路等效模型一般說來,因?yàn)檎な阶儞Q器與Buck變換器計(jì)算公式一致,正激式變換器也是由Buck演變而來,所以正激式變換器與Buck有相同的特點(diǎn)。buck變換器的主電路輸出濾波器電路輸出端的電感L1盡可能小,以獲得最好的瞬態(tài)響應(yīng)及最低的成本。如使用了大電感,那么電源就不能迅速響應(yīng)負(fù)載電流的變化。反之,如果用太小的電感,那么將在輸出部件和變換器中產(chǎn)生很大的紋波電流,這將降低電路的效率,甚至在輕載時(shí)會(huì)出現(xiàn)不連續(xù)的工作狀態(tài)。3.2選擇L1的方法在最小負(fù)載電流時(shí)(即經(jīng)常定義為Imax的10%電流時(shí)),該電感能夠連續(xù)導(dǎo)通。

27、保持電感連續(xù)導(dǎo)通有兩個(gè)好處:第一,當(dāng)負(fù)載變化時(shí),只要求控制電路的控制脈沖寬度做出很小的變化便能控制輸出電壓,使得電感在整個(gè)工作周期內(nèi)保持導(dǎo)通狀態(tài);第二,在負(fù)載的變化范圍內(nèi),紋波電壓將保持為一個(gè)較低的值。但是這種方法的主要缺點(diǎn)是電感值可能非常大,如果必須要控制負(fù)載電流下降到零值,這種方法不能使用。使紋波電流有一個(gè)可以接受峰峰值的限制,例如,在輸入電壓的標(biāo)稱值下,要求紋波電流峰峰值限制在最大負(fù)載電流的10%-30%之間。3.3正激變換器與反激變換器電感的區(qū)別在反激式變換器中,主電路的電感L1和變壓器是一體的,它的值根據(jù)功率轉(zhuǎn)換要求來確定。在這種變換器中,濾波元件特別是整個(gè)能量轉(zhuǎn)換系統(tǒng)必須能夠承受大

28、紋波電流。3.4輸出電感L設(shè)計(jì)實(shí)例 假設(shè)主電路輸出電感L1的設(shè)計(jì)要求是用于單端正激變換器和濾波器的見圖3.1變換器的要求如下: 輸出功率 = 100W輸出電壓 = 5V輸出電流 = 20A工作頻率 = 30KHZ最小負(fù)載 = 20%這種設(shè)計(jì)方法可假設(shè)輸出紋波電流必須不超過I(load)的30%(在這個(gè)例子中I(load)的峰峰值為6A)。考慮到容許控制范圍內(nèi),在標(biāo)稱輸入下脈沖寬度應(yīng)取總周期的30%,即為10uS。在脈寬為周期的30%的條件下,系統(tǒng)為了能夠提供5V輸出,變壓器副邊電壓將為: (3-1) 式中,Tp = 30KHZ的總周期時(shí)間,uS;Ton = 導(dǎo)通時(shí)間,uSVs = 副邊電壓。在

29、前向?qū)ㄆ陂g內(nèi),電感L1上的電壓為副邊電壓減去輸出電壓。在這里假設(shè)輸出電容C1較大,而在導(dǎo)通期間其電壓變化是可以忽略不計(jì)的,那么: VL = Vs Vout = 16.66 5 = 11.66 V穩(wěn)態(tài)情況下,導(dǎo)通時(shí)間的電流變化必須等于關(guān)斷時(shí)間的電流變化,在該例中為6A,忽略二階量的影響,電感值可以根據(jù)下式來算: (3-2) 式中,L = 要求的電感,uH; t = 導(dǎo)通時(shí)間,uS I = 導(dǎo)通時(shí)間內(nèi)電流的變化;VL = 電感上的電壓因此: 注意:假設(shè)電感上的電壓在導(dǎo)通期間不變,而且di/dt也是不變的,可以用一個(gè)簡單的線性方程式計(jì)算。 在這個(gè)例子中,為了使得導(dǎo)通期間能夠存儲(chǔ)足夠的能量來維持關(guān)斷

30、期間的輸出電流,應(yīng)該選擇大的電感。在推挽正激式變換器中,關(guān)斷時(shí)間要小得多,所以副邊電壓和電感值也較小。3.5輸出電容的計(jì)算一般假設(shè)輸出電容的大小只受紋波電流和紋波電壓技術(shù)要求的限制。但是如果使用第二級(jí)濾波器L2和C2,那么在C1兩端就允許存在一個(gè)很大的紋波電壓,而不用折中考慮輸出紋波的技術(shù)要求。如果只對(duì)紋波電壓有要求,只需采用一個(gè)更小的電容。例如,假設(shè)在C1兩端的紋波電壓允許達(dá)到500mA。在導(dǎo)通期間L1上的電流變化主要流入到C1,容許500mA電壓變化所需要的電容值的計(jì)算如下式,下面的等式假設(shè)使用的是等效串聯(lián)電阻為零的理想電容。 C = I×Ton / Vo (3-3)式中,C =

31、 輸出電容值,uF I = 導(dǎo)通期間電流的變化,A;Ton = 導(dǎo)通時(shí)間,uS; Vo = 紋波電壓,Vp-p (峰峰值);因此, C = 6×10/0.5 = 120 uF因此,只需滿足紋波電壓要求,用一個(gè)很小的120uF電容便可解決問題。無論如何,在應(yīng)用中負(fù)載電流能夠在一個(gè)瞬變負(fù)載變化的大范圍內(nèi)快速變化,根據(jù)副邊瞬變負(fù)載變化準(zhǔn)則可以確定最小輸出電容的大小。現(xiàn)在討論在負(fù)載達(dá)到最大后負(fù)載突然降到零的情況。這時(shí)即使控制電路能夠快速反應(yīng),但存儲(chǔ)在串聯(lián)電感中的能量1/2LI*2必須傳送到輸出電容,增加它的端電壓。在上面的例子中,對(duì)于一個(gè)其輸出電容只有120uF、串聯(lián)電感為19.4uH和滿載

32、電流為20A的變換器,移去其負(fù)載時(shí)的電壓過沖幾乎為100%,這時(shí)不允許出現(xiàn)的。因此負(fù)載移去時(shí)最大允許的電壓過沖也就變成了一個(gè)控制因素。 最小輸出電容值應(yīng)該滿足電壓過沖的要求,利用能量轉(zhuǎn)換則可如下式計(jì)算。 滿負(fù)載突然撒掉時(shí)存儲(chǔ)在輸出電感中的能量為: (3-4)在發(fā)生這種事件后,存儲(chǔ)在輸出電容中的能量變化將是: (3-5)式中,Vp = 最大輸出電壓 = 6V Vo = 正常輸出電壓 = 5V因此, (3-6)重新整理可求得C是: (3-7)如果該例中的最大輸出電壓不超過6V,那么輸出電容的最小值將是: 再者,為了滿足紋波電流技術(shù)指標(biāo)需要使用一個(gè)大電容。實(shí)際取電容值時(shí)要考慮增大大約20%的典型值,

33、這主要考慮到電容受到等效串聯(lián)電阻和等效串聯(lián)電感、電容大小、形狀、紋波電流的頻率??偟膩碚f,通過附加一些相對(duì)較小的附加LC輸出濾波網(wǎng)絡(luò)便可以得到一個(gè)非常有效的差模和共模紋波傳導(dǎo)的抑制。在電路設(shè)計(jì)中做出這些簡單改變,使用成本中等電解電容和傳統(tǒng)電感設(shè)計(jì),就可以得到好的紋波和噪聲抑制效果。4濾波器的仿真軟件簡介以上對(duì)開關(guān)電源輸出濾波器的種類和原理性分析、元件參數(shù)的設(shè)計(jì)及計(jì)算,下面是對(duì)以上所設(shè)計(jì)的濾波器進(jìn)行計(jì)算機(jī)軟件仿真與分析。仿真軟件saber的介紹: 目錄:1、Saber軟件概要2、Saber發(fā)展歷程3、Saber的優(yōu)勢4、Saber的特點(diǎn)5、Saber的應(yīng)用4.1Saber軟件概要Saber模擬及

34、混合信號(hào)仿真軟件是美國Synopsys公司的一款EDA軟件,被譽(yù)為全球最先進(jìn)的系統(tǒng)仿真軟件,是唯一的多技術(shù)、多領(lǐng)域的系統(tǒng)仿真產(chǎn)品,現(xiàn)已成為混合信號(hào)、混合技術(shù)設(shè)計(jì)和驗(yàn)證工具的業(yè)界標(biāo)準(zhǔn),可用于電子、電力電子、機(jī)電一體化、機(jī)械、光電、光學(xué)、控制等不同類型系統(tǒng)構(gòu)成的混合系統(tǒng)仿真,為復(fù)雜的混合信號(hào)設(shè)計(jì)與驗(yàn)證提供了一個(gè)功能強(qiáng)大的混合信號(hào)仿真器,兼容模擬、數(shù)字、控制量的混合仿真,可以解決從系統(tǒng)開發(fā)到詳細(xì)設(shè)計(jì)驗(yàn)證等一系列問題。4.2Saber發(fā)展歷程 1986年Analogy公司開發(fā)并推出Saber仿真軟件 2000年2月Avanti!公司收購Analogy,Saber成為Avanti!公司產(chǎn)品。 2002年

35、6月Avanti!公司被Synopsys并購,Saber軟件再次易主,成為Synopsys公司產(chǎn)品。 2004年10月Synopsys在中國舉辦“Saber產(chǎn)品巡回展",并由北京才略科技有限公司作為總代理,開始了Saber仿真軟件在中國的全面推廣。 4.3Saber的優(yōu)勢(1)支持自頂向下的系統(tǒng)設(shè)計(jì)和由底向上的具體設(shè)計(jì)驗(yàn)證,可以分析從SOC到大型系統(tǒng)之間的設(shè)計(jì);在概念設(shè)計(jì)階段支持模塊化的方框圖設(shè)計(jì),詳細(xì)設(shè)計(jì)階段可用具體元器件組成實(shí)際系統(tǒng); (2)提供了一個(gè)功能強(qiáng)大的混合信號(hào)仿真器,支持包括模擬電路、數(shù)字電路及混合電路,混合技術(shù)系統(tǒng)設(shè)計(jì); (3)通過單一的混合信號(hào)仿真內(nèi)核就可以提供精確

36、有效的仿真結(jié)果; (4)Saber內(nèi)部采用5種不同的算法依次對(duì)系統(tǒng)進(jìn)行仿真,一旦其中某一種算法失敗,Saber將自動(dòng)采用下一種算法,在仿真精度和仿真時(shí)間上進(jìn)行平衡,保證在最少的時(shí)間內(nèi)獲得最高的仿真精度; (5)通過直觀的圖形化用戶界面全面控制仿真過程; (6)可以在各種流行的EDA設(shè)計(jì)環(huán)境中運(yùn)行,采用通用的建模語言,實(shí)現(xiàn)信息共享,提供對(duì)標(biāo)準(zhǔn)庫的支持; (7)可以通過對(duì)穩(wěn)態(tài)、時(shí)域、頻域、統(tǒng)計(jì)、可靠性及控制等方面的分析來檢驗(yàn)系統(tǒng)性能; (8)可以仿真一個(gè)實(shí)際系統(tǒng), SABER的仿真原理圖里有相應(yīng)主電路和控制模塊。實(shí)際電路需要程序控制,SABER中可以將實(shí)際系統(tǒng)的控制算法通過MAST語言編程完全實(shí)現(xiàn)

37、; 4.4Saber的特點(diǎn)(1)集成度高: 從調(diào)用畫圖程序到仿真模擬,可以在一個(gè)環(huán)境中完成,不用四處切換工作環(huán)境(2)完整的圖形查看功能:Saber提供了SaberScope和DesignProbe來查看仿真結(jié)果,而SaberScope功能更加強(qiáng)大。 (3)各種完整的高級(jí)仿真:可進(jìn)行偏置點(diǎn)分析、DC分析、AC分析、瞬態(tài)分析、溫度分析、參數(shù)分析、傅立葉分析、蒙特卡諾分析、噪聲分析、應(yīng)力分析、失真分析等。(4)模塊化和層次化:可將一部分電路塊創(chuàng)建成一個(gè)符號(hào)表示,用于層次設(shè)計(jì),并可對(duì)子電路和整體電路仿真模擬。(5)模擬行為模型: 對(duì)電路在實(shí)際應(yīng)用中的可能遇到的情況,如溫度變化及各部件參數(shù)漂移等,進(jìn)行

38、仿真模擬。(6) 強(qiáng)大的收斂性分析: Saber非常仔細(xì)地選擇了算法,使遇見收斂性問題的可能性降到最小,這是其它仿真器經(jīng)常遇到而無法解決的問題。Saber順序的使用了5種強(qiáng)大的算法來解決收斂性問題,在系統(tǒng)評(píng)估時(shí),Saber對(duì)精確系統(tǒng)方程提出一種分段式線性評(píng)估。這樣,即使非常困難的仿真問題,如尖銳信號(hào)的瞬態(tài)分析,都可以被很好地控制。 (7)仿真精度: 在做仿真時(shí),你需要確信仿真結(jié)果能精確反映你的物理系統(tǒng)的操作。根據(jù)Avant!豐富的設(shè)計(jì)和仿真經(jīng)驗(yàn),Saber默認(rèn)的精度控制可以在能夠接受的仿真時(shí)間內(nèi)提供高精度的仿真結(jié)果。 (8) 模型與仿真器分離: Saber仿真器同仿真模型完全分離,它允許你完整

39、地存取和控制模型。你可以查看一個(gè)模板的內(nèi)容,修改它,并可以做成另外一個(gè)模板。創(chuàng)建你自己的模型并添加到庫中去,或者創(chuàng)建你自己的庫。你既可以使用Avant!的專用語言(MAST)創(chuàng)建模型,也可以用C、C+和FORTRAN來寫模型或子電路。另外Avant!本身提供各種類型的庫,其中包含著數(shù)以萬計(jì)的庫模型,有工業(yè)標(biāo)準(zhǔn)Star-Hspice模擬仿真器的高精度Si模型,還有強(qiáng)電設(shè)備使用的IGBT模型及通信系統(tǒng)用的S及Z域模型,等等。 (9) 支持全線的分析功能: Saber支持所有標(biāo)準(zhǔn)模擬仿真分析,包括直流工作點(diǎn)分析、瞬態(tài)分析、交流噪聲分析、失真分析、傅立葉分析。更為詳細(xì)的是,Saber及Inspecs可

40、以支持MonteCarlo分析、應(yīng)力分析、靈敏度分析及參數(shù)掃描分析。所有的分析都可以在任意混合系統(tǒng)中使用。 (10)輸出結(jié)果的查看: 產(chǎn)生仿真數(shù)據(jù)僅僅是一個(gè)成功的系統(tǒng)分析的一個(gè)方面。在Saber設(shè)計(jì)環(huán)境中,你可以用功能強(qiáng)大且簡單易用的SaberScope圖形化波形分析器來查看并分析結(jié)果。 Saber可以創(chuàng)建一個(gè)默認(rèn)的結(jié)果文件,如果你愿意,你可以自行定義要抽取的仿真數(shù)據(jù)。然后你能使用SaberScope,在一個(gè)系統(tǒng)或模型的層次內(nèi)部查看信號(hào)和參數(shù),或者只是簡單看一下主要的波形。如果你需要看新的信號(hào),你可以直接提取它們的數(shù)據(jù)而不需要重新仿真。Saber的這一獨(dú)一無二的特性可以節(jié)省你寶貴的時(shí)間,并非常

41、容易地提取重要數(shù)據(jù)。 (11)利用Calaveras算法實(shí)現(xiàn)快速仿真: Saber協(xié)同仿真技術(shù)使用Calaveras模擬/數(shù)字專利算法,它允許數(shù)字仿真器 (Verilog-XL, ModelSim)及Saber利用最佳的時(shí)間步長來仿真。它使得在數(shù)字仿真器和模擬仿真器之間的數(shù)據(jù)交換只有在需要的時(shí)候才進(jìn)行,這樣可以極大的提高仿真速度。而相應(yīng)的其它仿真理論則要求在每一個(gè)時(shí)間步長都交換信息,并通過回溯來重新評(píng)估先前的計(jì)算。這些都極大的影響了仿真速度,特別是調(diào)用多極反饋循環(huán)時(shí)。 (12)查看相互關(guān)聯(lián)的結(jié)果: 圖形化顯示及分析工具SaberScope,可以將仿真數(shù)據(jù)提取成有用的仿真結(jié)果。 SaberSco

42、pe提供了一種靈活的按時(shí)間對(duì)齊的顯示方法,可以將Saber的模擬/數(shù)字信號(hào)同VHDL,Verilog信號(hào)聯(lián)合顯示。同時(shí)SaberScope還提供一種全面的波形測量及圖形標(biāo)注能力。另外,它提供一個(gè)獲有專利的波形計(jì)算器,給予設(shè)計(jì)者一種有力的手段來操作數(shù)據(jù)和波形。利用這個(gè) 計(jì)算器可以計(jì)算出諸如平均功率損耗等數(shù)據(jù),并將結(jié)果標(biāo)注出來。當(dāng)器件參數(shù)改變時(shí),它還可以產(chǎn)生電路的靈敏度曲線。 利用了Saber不尋常的數(shù)據(jù)結(jié)構(gòu),設(shè)計(jì)者可以操作電路的所有信號(hào),包括HDL模內(nèi)部的變量。 Saber/ModelSim 的多種語言及多平臺(tái)支持:? Saber/ModelSim 的協(xié)同仿真支持Model Technology

43、 公司的ModelSim Plus仿真器。這表示你可以在一個(gè)設(shè)計(jì)中仿真Verilog、VHDL數(shù)字器件,并且與MAST混合信號(hào)器件及SPICE 器件一樣方便。 Saber/ModelSim 除了支持標(biāo)準(zhǔn)UNIX平臺(tái)以外,還支持PC NT平臺(tái),這是非常有價(jià)值的。 4.5 Saber的應(yīng)用Saber是混合信號(hào)、混合技術(shù)設(shè)計(jì)與驗(yàn)證工具,在電力電子、數(shù)模混合仿真、汽車電子及機(jī)電一體化領(lǐng)域得到廣泛應(yīng)用。Saber軟件在技術(shù)、理論及新產(chǎn)品開發(fā)方面保持明顯優(yōu)勢,其大量的器件模型、先進(jìn)的仿真技術(shù)和精確的建模工具為客戶提供了全面的系統(tǒng)解決方案,在并在技術(shù)方面不斷地完善創(chuàng)新。 Saber包括Sketch、Harn

44、ess、Model Libraries、 InSpecs、 CosmoScope五套工具,用來完成多層次設(shè)計(jì)(考慮電纜性能/不考慮電纜性能)、數(shù)據(jù)庫、可執(zhí)行參數(shù)設(shè)計(jì)與仿真精度保障、仿真測試與波形顯示等功能。設(shè)計(jì)者可以用Measured Data、Datasheets或是Saber Plot Files輸入?yún)?shù)來設(shè)計(jì)約束條件,簡單地實(shí)現(xiàn)建模。Saber的建模工具運(yùn)用廣泛,有可用于電源、機(jī)電、磁、熱、負(fù)載等各種建模工具。Saber的典型案例是航空器領(lǐng)域的系統(tǒng)設(shè)計(jì),其整個(gè)設(shè)計(jì)過程包含了機(jī)械技術(shù)、電子技術(shù)、液壓技術(shù)、燃油系統(tǒng)、娛樂系統(tǒng)、雷達(dá)無線技術(shù)等復(fù)雜的混合技術(shù)設(shè)計(jì)與仿真。從航空器、輪船、汽車到消費(fèi)

45、電子、電源設(shè)計(jì)都可以通過Saber來完成。 5仿真輸出濾波電路及濾波效果分析5.1設(shè)計(jì)參數(shù)及紋波要求Line Input 150Vdc,士6V 35WattsVout 15VdcVout(ripple) 25mVp-pIout 50mA to 2AIout(ripple) 100mA p-pPout(max) = (15V)(2A) 30Watts其他:Efficiency 85%Switching Frequency 200KHZ5.2開環(huán)設(shè)計(jì)(功率電路設(shè)計(jì)) 確定占空比和變壓器匝比正激變換器的基本關(guān)系式: (5-1)式中Vout為直流輸出電壓,n為變壓器匝比且等于,D為占空比。根據(jù)Vout

46、 = 15V and Vin = 150V正激變換器的占空比D必須小于0.5,其取值范圍在00.5之間。本例中,取D = 0.3, 所以 解上述方程得到n = 3 計(jì)算最大、最小和額定的占空比占空比可由下式求得: (5-2) 式中Vd是輸出整流二極管壓降,令Vd = 0.85V 最大占空比Dmax定義為: (5-3) 式中Vin(min)= 150 6 = 144V,n = 3;Vout = 15V,Vd=0.85.所以Dmax = 0.3302正激式變換器中最大占空比為0.3302是可接受的,因?yàn)樗∮?.5. 最小占空比Dmin定義為: (5-4) 式中Vin(min) = 150V,代入

47、相應(yīng)的參數(shù)得到: D min = 3.317該D min值大于先前所選的D(0.3),因?yàn)榭紤]了輸出二極管的管壓降5.3設(shè)計(jì)輸出濾波器下圖5.1所示為通過濾波器電感的電流波形 圖5.1電感的電流波形電感中最大的峰-峰電流由最小的負(fù)載電流(Imin=0.05A)決定,如果負(fù)載電流小于0.05A,部分電感電流值為0,使功率變換器進(jìn)入不連續(xù)工作模式。下圖5.2所示為最大的紋波電流: 圖5.2最大紋波電流(1) 計(jì)算與設(shè)計(jì)電感: (5-5) 式中VL=15V,di = 0.1A, dt = (1-Dmin)/fsw = (1-0.3048)/200KHZ = 3.5us 所以 (5-6) (2) 設(shè)計(jì)

48、電容輸出濾波電容紋波Vout(ripple)連同通過電感的電流紋波Iripple一起決定了輸出電容的大小。下式用于計(jì)算電容值: (5-7)式中Iripple=0.1A,f=200KHZ,Vripple=0.025V 計(jì)算電容的等效ESR Resr=ESR=U/ I = 0.025/0.1 = 0.25 5.4使用Saber軟件仿真驗(yàn)證設(shè)計(jì)下圖5.3是開環(huán)的正激式開關(guān)電源原理及輸出濾波原理圖:圖5.3注意:額定輸入電壓=15V 最大輸出負(fù)載電流=2A 占空比=0.317 開關(guān)頻率=200KHZ L,C,ESR的值圖5-3正激式開關(guān)電源原理及濾波仿真圖5.3 開環(huán)的正激式開關(guān)電源原理及輸出濾波原理圖5.5仿真結(jié)果分析 檢驗(yàn):當(dāng)Vin=150V,K=200k,D=0.317,n=3時(shí),Vout=15V,IL(ripple)=0.1Ap-p Vout(ripple)=0.025V p-p = 25mV測定時(shí)域分析(瞬態(tài))響應(yīng)如圖所示:圖5.4電壓紋波圖5.5電流紋波

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論