交通燈控制電路設計63707_第1頁
交通燈控制電路設計63707_第2頁
交通燈控制電路設計63707_第3頁
交通燈控制電路設計63707_第4頁
交通燈控制電路設計63707_第5頁
已閱讀5頁,還剩9頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、??诮洕鷮W院信息工程學院項目實訓報告實訓項目名稱: 交通信號燈控制器設計 項目實訓時間:2013年3月4日至2013年4月12日實訓指導教師: 專業(yè)(方向): 電子信息工程(軟件方向) 年 級: 2009級 班 級: 姓 名: 學 號: 2013年 4 月 8 日項 目 實 訓 須 知一、安全第一。學生必須嚴格遵守國家政策法令及學校的有關規(guī)章制度,嚴格遵守實訓考勤制度和勞動紀律,嚴格遵守工作規(guī)程。二、項目實訓報告按實訓的實際情況編寫,著重工程項目的需求分析、工程結構圖(E-R圖或拓撲圖)、設計實施、測試修改、總結收獲等。三、項目實訓報告的主體內容要求思路清晰,合乎邏輯,用語簡潔準確;內容務求客

2、觀、科學,要盡量讓事實和數(shù)據(jù)說話。凡是用簡要的文字能夠講清楚的內容,應用文字陳述。用文字不容易說明白或說起來比較繁瑣的,應用表或圖來陳述。四、項目實訓總結是實訓過程的總體結論,主要回答“收獲和體會是什么”,是項目實訓成果的歸納和總結,也包括指出項目的不足或待完善改進的地方。五、項目實訓報告版面要求:字數(shù)不少于5000字。用Word排版及標準A4打印紙進行打印。頁面上、下、右邊距均為2.5厘米,左邊距為3厘米。報告正文部分行間距為“1.5倍行距”。正文部分文字采用宋體、小四號,正文中一級標題采用宋體、四號、加粗,二級標題采用宋體、小四加粗,三級以后標題均采用宋體、小四。六、項目實訓結束后需按要求

3、上交本報告及完整的項目工程文件。七、項目實訓成績依據(jù)學生的實訓表現(xiàn)、完成的工程項目質量、撰寫報告等方面的綜合情況,由指導教師進行成績評定。成績按優(yōu)秀、良好、中等、及格、不及格五級制評定。不參加實訓的,或不按要求填寫本報告的,項目實訓成績以不合格計。一、項目實訓目的1、 當今時代是一個自動化時代,交通燈控制等很多行業(yè)的設備都與計算機密切相關。因此,一個好的交通燈控制系統(tǒng),將給道路擁擠、違章控制等方面給予技術革新。隨著大規(guī)模集成電路及計算機技術的迅速發(fā)展,以及人工智能在控制技術方面的廣泛運用,智能設備有了很大的發(fā)展,是現(xiàn)代科技發(fā)展的主流方向。 2、 EDA技術的一個重要特征就是使用硬件描述語言(H

4、DL)來完成系統(tǒng)的設計文件,應用VHDL的數(shù)字電路實驗降低了數(shù)字系統(tǒng)的設計難度,這在電子設計領域已得到設計者的廣泛采用。本設計就是針對交通信號燈控制器的設計問題,提出了基于VHDL語言的交通信號燈系統(tǒng)的硬件實現(xiàn)方法。通過對系統(tǒng)進行結構分析,采用了層次化的設計方法,給出了各個模塊的VHDL程序,并且利用Max Plus對應用程序進行了仿真,并給出了相應的仿真結果。在用VHDL語言進行電路設計時,應充分認識到VHDL語言的特點,從設計思想、語句運用及描述方法上等多方面對電路進行優(yōu)化設計。通過電路優(yōu)化設計,可以使用規(guī)模更小的可編程邏輯芯片,從而降低系統(tǒng)成本。 3、 本課程設計是在學完EDA原理及課程

5、之后綜合利用所學EDA知識完成一個EDA應用系統(tǒng)設計并在實驗室實現(xiàn)。該課程設計的主要任務是通過解決實際問題,鞏固和加深“電子設計自動化應用技術”課程中所學的理論知識和實驗能力,基本掌握基于VHDL語言應用電路的一般設計方法,提高電子電路的設計和實驗能力。二、項目實訓內容(需求分析、E-R圖或拓撲圖、設計實施、測試修改等)1、總體思路一般情況下十字路口東西方向和南北方向的車流量不同,所以為各方向分配的時間也不同。顯然,分三步控制不能達到讓各方向時間不同的目的,所以我們選擇分四個步驟:(1)南北方向綠燈亮60秒,東西方向紅燈亮60秒;(2)南北方向黃燈亮4秒,東西方向繼續(xù)亮紅4秒;(3)南北方向紅

6、燈亮30秒,東西方向綠燈亮30秒;(4)南北方向繼續(xù)紅燈,東西方向黃燈亮4秒。流程如下圖所示:)4s(紅燈 )60s(紅燈東西 )30s( 黃燈(4s) )60s綠燈(南北 紅燈紅燈(4s) 綠燈(30s)黃燈(4s) 我們可以用兩個十進制減法計數(shù)器做一個100進制以內的倒計時器,當計數(shù)到零時,發(fā)出一個借位信號給一個四進制步驟控制器,步驟控制器控制邏輯電路輸出下一個時間給倒計時器的置數(shù)端,如此循環(huán)下去。同時,步驟控制器也控制交通燈的明暗。2、程序控制流程時間顯示器秒脈沖減法計數(shù)器秒脈沖減法計數(shù)器邏輯電路步驟控制器交通燈控制電路3、 電路所用元件簡介(一) 74ls192 十進制逆時計數(shù)器1、

7、74ls192的引腳圖: 2、74ls192的功能表:它的主要功能為: CPU為加計數(shù)時鐘輸入端,CPD為減計數(shù)時鐘輸入端。 LD為預置輸入控制端,異步預置。 CR為復位輸入端,高電平有效,異步清除。 CO為進位輸出:1001狀態(tài)后負脈沖輸出, BO為借位輸出:0000狀態(tài)后負脈沖輸出。(二) 74ls02 或非門1、74ls02的引腳圖:2、74ls02的功能表74ls02引腳功能:實現(xiàn)2輸入四或非門功能,常用于各種數(shù)字電路中(三) 74ls04六非門1、74ls04的引腳圖 :2、74ls04的功能:74ls04是6非門(反相器)他的工作電壓5V,他的內部含有6個coms,反相器的作用就是

8、反相把1變成0。(四) 74ls32四2輸入或門1、74ls32的引腳圖 :2、74ls32的功能:74ls32是四2輸入或門,常用在各種數(shù)字電路以及單片機系統(tǒng)中。 表達式為:Y=A B 引腳排列圖管腳功能:左下1-1A,2-1B, 3-1Y;4-2A,5-2B,6-2Y;7-GND; 右起:右上8-3Y,9-3A,10-3B;11-4Y,12-4A, 13-4B;14-VCC 其中A,B為輸入端,Y為輸出端,GND為電源負極,VCC為電源正極(五) 74ls00四2輸入與非門1、74ls00的注腳: 2、74ls00的真值表: 3、74ls00的功能:74LS00(二輸入與非)、74LS02

9、(二輸入或非)、74LS04(非)、74LS08(二輸入與)、74LS32(二輸入或)在此不做介紹4、總電路設計總電路圖如下交通燈控制電路譯碼器發(fā)出的高低電平信號,即為步驟信號,控制著交通燈的明滅。電路圖如下所示: 為了實現(xiàn)交通燈的閃爍功能,接入一個手動開關,即可在6-22點時間段輸出高電平信號,在22-6點時間段輸出低電平信號。該信號與譯碼器的紅綠燈信號一起接到一個與門,起到開關的作用,6-22點允許譯碼器的信號通過,22-6點關閉信號燈。同時,該信號反相后與譯碼器的黃燈信號一起接到一個或門。6-22點為低電平信號,黃燈由譯碼器的信號控制;22-6點為高電平信號,黃燈一直工作,不論譯碼器是什

10、么信號。而且,控制黃燈的信號和秒脈沖的信號接入一個與門后,即可實現(xiàn)黃燈閃爍的功能5、電路安裝與調試(一)電路安裝考慮到如果整體電路都安裝好后在檢查調試電路會很麻煩,而且比較難找出問題出在哪,所以我們分步安裝調試檢查。首先我們確認所有元器件都是完好的、芯片無引腳掉落并且工作正常,所有導線無損壞。(二) 電路調試1、調試方法 通電觀察把經過準確測量的元件接好電路。觀察有無異?,F(xiàn)象,包括有無冒煙,是否有異常氣味,手摸器件是否發(fā)燙,電源是否有短路現(xiàn)象等。如果出項異常,應急時切掉電源,徹底排除故障后才能通電。然后測量各電路總電源電壓和期間的引腳的點電壓,以保證元器件的正常。 靜態(tài)調試:在沒有外加信號的條

11、件下進行調試。本次課程設計主要是通過靜態(tài)測試數(shù)字電路的各輸入端和輸出端的高低電平及其邏輯關系,可以即時發(fā)現(xiàn)已經損壞的元器件,判斷電路工作情況,并及時調整電路參數(shù),是電路工作狀態(tài)符合設計要求。 動態(tài)調試動態(tài)調試是在靜態(tài)調試的基礎上進行。調試的方法是在電路的輸入端接入適當?shù)念l率和幅值的信號,查看電路工作情況。6、故障分析與電路改進(一) 常見的故障現(xiàn)象在本次課程設計中常見的故障現(xiàn)象:1、計數(shù)器不能正確計數(shù);2、電路的延時現(xiàn)象使兩個計數(shù)器不能同時工作;3、倒計時時間不正確;4、芯片與各接觸點的接觸不良問題;5、計數(shù)出現(xiàn)紊亂。(二) 產生故障的原因故障產生的原因很多,情況也很復雜,有的是一種原因引起的

12、簡單故障,有的是多種原因相互作用引起的故障。本實驗產生故障的原因主要有以下幾種:1、定型芯片使用異端時間后出現(xiàn)故障,元器件損壞,連接線路是發(fā)生短路或斷路(接插件接觸不良);2、新設計安裝的電路來說,故障原因可能是,實際電路與設計的原理圖不符;元器件使用不當或損壞,設計的電路本身就存在某些缺點,不滿足技術要求,連線發(fā)生短路或斷路現(xiàn)象等。 3、儀器使用不正引起的故障;4、線路受內在、外在干擾。三、項目實訓總結(項目成果分析、收獲及存在的不足) 六個星期的實訓項目設計一眨眼就過去了。在這六個星期里,我們完成了從電路的單元構思到整體設計到后來的設計完成、軟件仿真再到最后的安裝調試的成功。雖然這期間我們

13、經歷了許多挫折和失敗的探索,付出了很多時間和汗水,但最后我們成功了。心中的喜悅與成就感是不言而喻的。 自己選實訓項目課題的時候,一頭霧水。因為有一段時間沒接觸數(shù)電了所以對所學的東西開始感到陌生,更何況本次課程設計綜合性很強,要求我們發(fā)散思維設計電路。雖然老師講解指導之后有點頭緒了,但一開始我還是不知從何下手。從圖書館借閱資料后,我參考老師給我們的總體框圖,先確定每個模塊可能要用到哪些芯片然后熟悉它們的引腳和功能表。我漸漸地有了頭緒:原來用我們學過的知識就都可以設計出各個模塊,只要把每個模塊設計好了然后連起來就基本完成了。 在通用倒計時課題的設計中,我用兩片74192十進制芯片完成了倒計時的循環(huán)計數(shù),這部分并不難,只需注意進位的連接就可以了,這在數(shù)電實驗中已經掌握了。而在延時電路這模塊中我遇到許多困難,起初是毫無頭緒,后來通過老師的指導知道,這模塊雖然費時比較長,不過設計成功時是非常開心的,因為我覺得這模塊是本課題一大難點。 仿真成功后,在實際接線測試的過程中我們遇到了更多問題。故障產生的原因很多,情況也很復雜,有的是一種原因引起的簡單故障,有的是多種原因相互作用引起的故障。比如說接線板部分區(qū)域損壞或接觸不好、芯片損壞、導線老化、線路干擾、外界干擾而造成的顯示數(shù)據(jù)紊亂不穩(wěn)定,還有就是芯片管腳懸空并不一定是高電平等實際問題。當我

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論