數(shù)字秒表的設(shè)計(jì)與制作_第1頁
數(shù)字秒表的設(shè)計(jì)與制作_第2頁
數(shù)字秒表的設(shè)計(jì)與制作_第3頁
數(shù)字秒表的設(shè)計(jì)與制作_第4頁
數(shù)字秒表的設(shè)計(jì)與制作_第5頁
已閱讀5頁,還剩24頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 29 南昌航空大學(xué)14數(shù)字秒表的設(shè)計(jì)與制作南昌航空大學(xué)測(cè)光學(xué)院110812班魏鑠巖課程設(shè)計(jì)論文題目:數(shù)字秒表的設(shè)計(jì)與制作學(xué)院:測(cè)試與光電工程學(xué)院專業(yè)名稱:測(cè)控技術(shù)與儀器學(xué)生姓名:魏鑠巖班級(jí)學(xué)號(hào):11081211指導(dǎo)教師:金信鴻二一四年六月數(shù)字秒表的設(shè)計(jì)與制作學(xué)生姓名:魏鑠巖班級(jí):110812-11指導(dǎo)老師:金信鴻摘要:通過本電路掌握rs觸發(fā)器,單穩(wěn)態(tài)觸發(fā)器,時(shí)針發(fā)生器及計(jì)時(shí)器,譯碼顯示單元電路的應(yīng)用,u1a,u1b構(gòu)成基本rs觸發(fā)器,其作用是啟動(dòng)和停止秒表工作,按動(dòng)k2,u1a3為高電平,u1b6為低電平,計(jì)數(shù)停止。按動(dòng)k1,計(jì)數(shù)器清零并開始計(jì)數(shù)。U2a,u2b構(gòu)成微分型單穩(wěn)態(tài)觸發(fā)器,其輸入

2、觸發(fā)負(fù)脈沖加到計(jì)時(shí)器的清除端mr。其職能是為計(jì)數(shù)器提供清零信號(hào)。Ne555構(gòu)成多諧振蕩器,調(diào)節(jié)rl可以使得555輸出100hz的矩形波,u6,u7,u8構(gòu)成計(jì)數(shù)器及分屏器.74ls160為同步十進(jìn)制加法計(jì)數(shù)器。u8作為十分頻器,對(duì)100hz信號(hào)進(jìn)行十分頻,得10hz矩形波,即在其進(jìn)位輸出端tc取得0.1秒矩形脈沖信號(hào),計(jì)數(shù)器u6,u7接成8421碼十進(jìn)制形式.同時(shí)計(jì)數(shù)器u7又經(jīng)十分頻得到1秒的矩形脈沖信號(hào)作為u6的時(shí)針信號(hào).計(jì)數(shù)器u6,u7分別作為秒表和0。1秒位數(shù)器他們的輸出端與譯碼單元的對(duì)應(yīng)輸入端連接,可現(xiàn)實(shí)0.19.9秒數(shù)字。U4,u5和數(shù)碼管形成顯示單元,74ls247為bcd碼輸入的

3、四線七段譯碼器,數(shù)碼管采用共陽數(shù)碼管.關(guān)鍵詞:rs觸發(fā)器,單穩(wěn)態(tài)觸發(fā)器,時(shí)針發(fā)生器及計(jì)時(shí)器,譯碼顯示單元電路指導(dǎo)老師簽名:課程設(shè)計(jì)任務(wù)書數(shù)字式秒表的設(shè)計(jì)(一)、任務(wù)和要求:設(shè)計(jì)并制作一個(gè)數(shù)字式秒表,要求如下:1、用三位數(shù)碼管及一個(gè)LED發(fā)光二極管顯示秒表計(jì)時(shí),格式如下:秒十位秒個(gè)位秒十分位 分開機(jī)時(shí)數(shù)碼管顯示000,LED燈滅;當(dāng)計(jì)時(shí)超過59秒時(shí),LED燈亮;計(jì)到1分59秒時(shí),過一秒LED燈滅,同時(shí)數(shù)碼管重新計(jì)時(shí)顯示。計(jì)時(shí)最小單位為0.1秒。2、具有如下功能鍵:開始/清零鍵:按第一下時(shí)計(jì)時(shí)開始,同時(shí)顯示;按第二下,停止計(jì)時(shí)恢復(fù)到初始狀態(tài);固定顯示鍵:按第一下時(shí),顯示固定,但計(jì)時(shí)仍繼續(xù);再按下時(shí)

4、,顯示從新時(shí)間開始。3、要求自制0。1秒信號(hào)源.4、設(shè)計(jì)并制作本電路所用直流電源.(二)、提示和參考文獻(xiàn)直流穩(wěn)壓電源見參考資料P23數(shù)字電子技術(shù)實(shí)驗(yàn)任務(wù)書實(shí)驗(yàn)六前言課程設(shè)計(jì)是針對(duì)某一理論課程的要求,對(duì)學(xué)生進(jìn)行綜合性實(shí)踐訓(xùn)練的實(shí)踐教學(xué)環(huán)節(jié),可以培養(yǎng)學(xué)生運(yùn)用課程中所學(xué)的理論知識(shí)與時(shí)間緊密結(jié)合,獨(dú)立地解決實(shí)際問題的能力.本次課程設(shè)計(jì)的數(shù)字式秒表的電路是利用不同功能的芯片和邏輯電路構(gòu)成的數(shù)字電路,其中所需的芯片如計(jì)數(shù)器、譯碼器、鎖存器等,它與我們所學(xué)的數(shù)電、模電密切相關(guān)。數(shù)字、模擬電子技術(shù)是電子、通信專業(yè)的重要基礎(chǔ)課程,其特點(diǎn)之一是實(shí)踐性強(qiáng),因此電子電路課程設(shè)計(jì)也是其教學(xué)過程的重要組成部分。課程設(shè)計(jì)的

5、目的及意義:1、基礎(chǔ)知識(shí)更深層次的理解和掌握;2、邏輯思維的培養(yǎng)和鍛煉;3、各學(xué)科之間的聯(lián)系與整合;4、培養(yǎng)嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度和優(yōu)良的學(xué)習(xí)作風(fēng);5、培養(yǎng)各小組成員之間的團(tuán)結(jié)協(xié)作精神.電子技術(shù)綜合課程設(shè)計(jì)應(yīng)達(dá)到如下基本要求:1、綜合運(yùn)用所學(xué)到的理論知識(shí)(比如數(shù)、模電)去獨(dú)立完成一個(gè)設(shè)計(jì)課題;2、通過查閱手冊(cè)和文獻(xiàn)資料,培養(yǎng)學(xué)生獨(dú)立分析和解決實(shí)際問題的能力;3、進(jìn)一步熟悉需用電子器件的類型和特性,并掌握合理選用元器件的原則;4、學(xué)會(huì)電子電路的安裝與調(diào)試技能;5、進(jìn)一步熟悉各種電子儀器(如示波器)的正確使用方法;6、學(xué)會(huì)撰寫課程設(shè)計(jì)總結(jié)報(bào)告;7、培養(yǎng)嚴(yán)肅認(rèn)真的工作作風(fēng)和嚴(yán)謹(jǐn)?shù)目茖W(xué)態(tài)度。做課程設(shè)計(jì)的我們也

6、應(yīng)該做到以上基本要求,努力完成此次課程設(shè)計(jì),以達(dá)到此次課程設(shè)計(jì)的意義.在此非常感謝指導(dǎo)老師的耐心教導(dǎo),以及組員們的竭力協(xié)作.目錄課程設(shè)計(jì)任務(wù)書1前言21、方案的論證和選擇51.1整體結(jié)構(gòu)框圖51。2設(shè)計(jì)方案51。3 方案的選擇72、單元電路的設(shè)計(jì)72。1電源的設(shè)計(jì)72.2 信號(hào)源的設(shè)計(jì)(0.1s)82.3 控制電路的設(shè)計(jì)82.3.1 開始/暫停計(jì)時(shí)開關(guān)82。3.2 清零開關(guān)92。4 顯示電路的設(shè)計(jì)92。4。1 六進(jìn)制計(jì)數(shù)器的設(shè)計(jì)102。4。2十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)112。4。3譯碼鎖存電路設(shè)計(jì)123、軟件仿真123。1 仿真軟件的介紹123.1。1 multisim簡介123。1.2 proteu

7、s 7簡介123。2 電源的仿真133。3 信號(hào)源仿真143。4顯示和控制電路的仿真143.5 總體電路圖初稿164、電路的裝調(diào)和數(shù)據(jù)分析174。1電源的裝調(diào)174。2信號(hào)源的裝調(diào)174。3 顯示譯碼鎖存電路的裝調(diào)174。4 控制電路的裝調(diào)174。5整體電路裝調(diào)184.6數(shù)據(jù)處理184。7 改進(jìn)措施185、總結(jié)196、附錄:216。1 總體電路圖216。2 元器件清單226。3 芯片管腳圖23參考文獻(xiàn):261、方案的論證和選擇1。1整體結(jié)構(gòu)框圖根據(jù)任務(wù)書的要求,我們的整體思路框圖如圖1所示:圖1 數(shù)字秒表組成框圖1。2設(shè)計(jì)方案這次我們組設(shè)計(jì)的數(shù)字秒表實(shí)際上是一個(gè)計(jì)數(shù)及其一些簡單的控制電路,對(duì)1

8、0HZ頻率的信號(hào)進(jìn)行計(jì)數(shù)、鎖存、清零及其顯示.對(duì)10HZ時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定,通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。但是在要求不高的情況下也可用555定時(shí)器構(gòu)成的信號(hào)源。再對(duì)該信號(hào)進(jìn)行分頻使之成為有用信號(hào)及10Hz信號(hào),再用計(jì)數(shù)器對(duì)其進(jìn)行計(jì)數(shù),用譯碼顯示電路進(jìn)行顯示,即可完成。該系統(tǒng)是否能滿足最終要求最重要的是信號(hào)源產(chǎn)生的信號(hào)是否穩(wěn)定,所以方案有以下兩種:方案一使用具有譯碼、鎖存功能CD4511,計(jì)數(shù)器74LS160來設(shè)計(jì)顯示控制部分74LS16055574LS16074LS160CD4511CD4511CD451174LS76方案二使用單獨(dú)的芯片,譯碼驅(qū)動(dòng)器74LS47,鎖存器74LS3

9、73,計(jì)數(shù)器74LS160設(shè)計(jì)的顯示控制部分55574LS16074LS16074LS16074LS16074LS37374LS4774LS4774LS37374LS4774LS3731。3方案的選擇方案一和方案二的不同主要是譯碼和鎖存部分.方案一是用CD4511,該芯片集譯碼和鎖存于一體,使用的芯片少,使電路大大的簡化,總體的設(shè)計(jì)比較合理。方案二使用了譯碼器和鎖存器獨(dú)立的設(shè)計(jì),即譯碼器用74LS48,而鎖存器用74LS373組合起來對(duì)計(jì)數(shù)器進(jìn)行操作,而且方案二多了一片74LS160,這片74LS160主要使用其清零端對(duì)二極管進(jìn)行清零操作.方案二總體來說使用芯片較多,布線較麻煩。最終考慮到實(shí)驗(yàn)

10、室沒有CD4511,于是只好采用方案二。2、單元電路的設(shè)計(jì)2。1電源的設(shè)計(jì)根據(jù)實(shí)驗(yàn)要求必須接入是220v的交流電,而實(shí)驗(yàn)所用電源都使直流,所以需要一個(gè)的變壓器,變壓器只能轉(zhuǎn)化成交流電,故此處可使用整流電路加上濾波和穩(wěn)壓就可以得到所需電壓.它的一般設(shè)計(jì)步驟如下:1. 變壓器得到可用交流電;2. 經(jīng)過整流二極管對(duì)其整流;3. 再用電容對(duì)其濾波;4. 最后用7805穩(wěn)壓系統(tǒng)對(duì)其進(jìn)行穩(wěn)壓.其電源的總電路圖如圖2所示:圖2 電源電路圖2。2 信號(hào)源的設(shè)計(jì)(0.1s)555定時(shí)器是一種多用途的數(shù)字模擬混合集成電路,用它能方便的構(gòu)成施密特觸發(fā)器、單穩(wěn)態(tài)觸器和多諧振蕩器.由于使用靈活方便,所以它在波形的產(chǎn)生與

11、變換、測(cè)量與控制、家用電器、電子玩具等許多領(lǐng)域得到廣泛的應(yīng)用。實(shí)驗(yàn)所需信號(hào)時(shí)頻率為10Hz的信號(hào),故用555定時(shí)器做一個(gè)占空比為1/2,周期為0。1s的信號(hào)源,具體電路圖如圖3所示:圖3 0.1s信號(hào)源2。3控制電路的設(shè)計(jì)2。3。1開始/暫停計(jì)時(shí)開關(guān)本設(shè)計(jì)使用的是雙JK觸發(fā)器,屬下降沿觸發(fā)的觸發(fā)器。它的1Q輸出端接8D鎖存器74LS373的LE端來對(duì)譯碼器74LS47進(jìn)行鎖存,當(dāng)下降沿到來的時(shí)候,從而達(dá)到固定顯示的目的.2。3。2清零開關(guān)JK觸發(fā)器的2Q輸出端接計(jì)數(shù)器74LS160的RD非端,當(dāng)下降沿到來的時(shí)候,從而使計(jì)數(shù)器清零。通過接入脈沖式開關(guān)及其上拉電阻來產(chǎn)生脈沖,再將JK觸發(fā)器接成T觸

12、發(fā)器,這樣保證了有單脈沖,之后電路狀態(tài)必翻。如圖4所示,J和K都必須接高電平保證觸發(fā)器成為一個(gè)T觸發(fā)器使之成為必翻電路。當(dāng)脈沖開關(guān)沒按下時(shí)時(shí)鐘信號(hào)是一個(gè)低電平;當(dāng)脈沖開關(guān)按下時(shí)時(shí)鐘信號(hào)由低電平變成高電平,導(dǎo)致Q也有相應(yīng)的變化。這樣就可以手動(dòng)控制電路狀態(tài).圖4 控制電路2。4顯示電路的設(shè)計(jì)計(jì)數(shù)器是數(shù)字系統(tǒng)中使用最多的時(shí)序電路.它是由觸發(fā)器和控制門組成。它不僅可以用來計(jì)數(shù),還可以用于數(shù)字系統(tǒng)的定時(shí)、分頻執(zhí)行數(shù)字運(yùn)算等.計(jì)數(shù)器的種類繁多,分類方法也有多種.按計(jì)數(shù)器中的觸發(fā)器翻轉(zhuǎn)次序可分為異步和同步計(jì)數(shù)器;按計(jì)數(shù)器的編碼方法分為二進(jìn)制、十進(jìn)制和其它進(jìn)制計(jì)數(shù)器;按計(jì)數(shù)過程中的數(shù)字增減分為加法與減法計(jì)數(shù)器

13、.本次課設(shè)所用的74LS160就是同步置數(shù)、異步清零的。因?yàn)?4LS160兼有異步清零和同步置數(shù)功能,所以置零法和置數(shù)發(fā)均可采用.由于置零法信號(hào)隨著計(jì)數(shù)器被置零而立即消失,所以置零信號(hào)持續(xù)時(shí)間極短,如果觸發(fā)器的復(fù)位速度有快有慢,則可能動(dòng)作慢的觸發(fā)器還未來得及復(fù)位,置零信號(hào)已經(jīng)消失導(dǎo)致電路誤動(dòng)作,因此這種接法的電路可靠性不高.為了克服這一缺點(diǎn)在本次設(shè)計(jì)中采用置數(shù)法來設(shè)計(jì)電路。2。4.1六進(jìn)制計(jì)數(shù)器的設(shè)計(jì)這部分是由74LS160N、74LS00N、74LS48、4205共陰數(shù)碼管和74LS373組成。由于74LS160N計(jì)數(shù)器是一個(gè)十進(jìn)制的、異步清零的,當(dāng)其第六個(gè)狀態(tài)到達(dá)時(shí)必須清零.設(shè)計(jì)時(shí)將74L

14、S160的置數(shù)端全部接地,輸出端Q2,Q3端經(jīng)與非門接到LOAD端,使其第六個(gè)狀態(tài)到達(dá)時(shí)整體置零.具體電路圖如圖5所示:圖5 六進(jìn)制電路圖2。4。2十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)這部分是由74LS160N、74LS48、4205共陰數(shù)碼管和74LS373組成。設(shè)計(jì)時(shí)將74LS160的置數(shù)端全部接地,由于74LS160是一個(gè)十進(jìn)制計(jì)數(shù)器所以可以直接連接,LOAD接高電平。故電路如圖6所示:圖6 十進(jìn)制電路2。4。3譯碼鎖存電路設(shè)計(jì)在數(shù)字系統(tǒng)中常常需要將測(cè)量或處理的結(jié)果直接顯示成十進(jìn)制數(shù)。為此,首先將BCD碼表示的結(jié)果送到譯碼器進(jìn)行譯碼,用它的輸出去驅(qū)動(dòng)顯示器件,由于顯示器的工作方式不同,對(duì)譯碼器的要求也就不

15、同,譯碼器的電路也不同.具體接法在十進(jìn)制計(jì)數(shù)器設(shè)計(jì)跟六進(jìn)制計(jì)數(shù)器設(shè)計(jì)中都已連接好。根據(jù)74LS373所能實(shí)現(xiàn)的功能,在74LS373的OE端接地,LE端接控制端,受開關(guān)的控制,當(dāng)觸動(dòng)開關(guān)使下降沿到來,74LS373工作在鎖存狀態(tài)下,從而達(dá)到鎖存的的功能.當(dāng)74LS373的LE端接高電平的話,芯片為直通的,輸入跟輸出保持一致。譯碼驅(qū)動(dòng)器74LS48驅(qū)動(dòng)的是共陰數(shù)碼管,所以接共陰數(shù)碼管。將芯片懸空的控制引腳統(tǒng)一接高電平以免引起誤差。具體圖形參照?qǐng)D5、圖6。3、軟件仿真3.1 仿真軟件的介紹3.1.1 multisim簡介仿真軟件NI Circuit Design Suite(NI電路設(shè)計(jì)套件)是美

16、國國家儀器有限公司(National Instrument簡稱NI公司)下屬的Electronics Workbench Group推出的以Windows為基礎(chǔ)的仿真工具,它可以實(shí)現(xiàn)對(duì)電路原理圖的圖形輸入、電路硬件描述語言輸入方式、電路分析、電路仿真、仿真儀器測(cè)試、射頻分析、單片機(jī)分析、PCB布局布線、基本機(jī)械CAD設(shè)計(jì)等應(yīng)用.NI電路設(shè)計(jì)套件包含下列Electronics Workbench軟件產(chǎn)品:NI Multisim、NI Ultiboard和NI Multisim MCU Module(以前被稱為MultiMCU)。NI Multisim 10。1特點(diǎn):豐富的元器件,提供了世界主流元

17、件提供商的超過16000多種元件,同時(shí)能方便的對(duì)元件各種參數(shù)進(jìn)行編輯修改,能利用模型生成器以及代碼模式創(chuàng)建模型等功能。強(qiáng)大的仿真能力,以SPICE3F5和Xspice的內(nèi)核作為仿真的引擎,通過Electronic workbench 帶有的增強(qiáng)設(shè)計(jì)功能將數(shù)字和混合模式的仿真性能進(jìn)行優(yōu)化。包括SPICE仿真、RF仿真、MCU仿真、VHDL仿真、電路向?qū)У裙δ堋?。1。2proteus 7簡介Proteus ISIS是英國Labcenter公司開發(fā)的電路分析與實(shí)物仿真軟件。它運(yùn)行于Windows操作系統(tǒng)上,可以仿真、分析(SPICE)各種模擬器件和集成電路,該軟件的特點(diǎn)是:實(shí)現(xiàn)了單片機(jī)仿真和SPI

18、CE電路仿真相結(jié)合。具有模擬電路仿真、數(shù)字電路仿真、單片機(jī)及其外圍電路組成的系統(tǒng)的仿真、RS232動(dòng)態(tài)仿真、I2C調(diào)試器、SPI調(diào)試器、鍵盤和LCD系統(tǒng)仿真的功能;有各種虛擬儀器,如示波器、邏輯分析儀、信號(hào)發(fā)生器等。支持主流單片機(jī)系統(tǒng)的仿真。目前支持的單片機(jī)類型有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各種外圍芯片。提供軟件調(diào)試功能。在硬件仿真系統(tǒng)中具有全速、單步、設(shè)置斷點(diǎn)等調(diào)試功能,同時(shí)可以觀察各個(gè)變量、寄存器等的當(dāng)前狀態(tài),因此在該軟件仿真系統(tǒng)中,也必須具有這些功能;同時(shí)支持第三方的軟件編譯和調(diào)試環(huán)境,如Keil

19、 C51 uVision2等軟件.具有強(qiáng)大的原理圖繪制功能.總之,該軟件是一款集單片機(jī)和SPICE分析于一身的仿真軟件,功能極其強(qiáng)大。3.2 電源的仿真圖7 電源仿真圖電源仿真用multisim10。1進(jìn)行,可以穩(wěn)定輸出直流5v電壓,如圖7所示.3.3信號(hào)源仿真圖8 信號(hào)源仿真圖圖9 信號(hào)源波形圖信號(hào)源仿真要求得到10Hz矩形波,用圖8所示電路在multisim10。1中仿真,就得到如圖9所示的矩形波。3.4顯示和控制電路的仿真由于顯示部分跟控制電路仿真時(shí)很難單獨(dú)來完成,所以將顯示控制部分一起仿真圖10顯示控制電路圖顯示控制電路圖是在Proteus 7中仿真,可得到實(shí)驗(yàn)要求顯示的電路如圖10,

20、也可通過開關(guān)控制電路的開始、清零和鎖存。3。5總體電路圖初稿仿真軟件中的空間有限,由于我們所使用的元器件比較多,在proteus 7中很難清楚的表示出來,所以將電源部分省略。圖11總體電路草圖4、電路的裝調(diào)和數(shù)據(jù)分析4。1電源的裝調(diào)做電源時(shí),因?yàn)楫?dāng)時(shí)設(shè)計(jì)時(shí)使用的是IN4007,在領(lǐng)器件時(shí),考慮到使用整流橋方便,便更改為整流橋.但連接時(shí),對(duì)整流橋不熟,便采用逐腳測(cè)試,試出了正確引腳接法。4。2信號(hào)源的裝調(diào)接好信號(hào)源紙都一直沒有輸出信號(hào),我們檢查了連線沒有問題之后,加發(fā)光二極管測(cè)試輸出信號(hào),結(jié)果沒有顯示,經(jīng)過大家的分析,調(diào)整最后才發(fā)現(xiàn)原來是二極管接反了,改正之后信號(hào)源正常工作。在信號(hào)源的輸出過程中

21、測(cè)得信號(hào)源的輸出脈沖比0.1秒稍慢,這是由于在領(lǐng)元器件的時(shí)候我們需要使用的72。15K的電阻沒有,結(jié)果我們領(lǐng)到得是75K的電阻,導(dǎo)致信號(hào)源的輸出稍有誤差。4。3顯示譯碼鎖存電路的裝調(diào)在調(diào)試顯示部分的時(shí)候,我們?cè)O(shè)計(jì)仿真的時(shí)候用的是74LS48共陰驅(qū)動(dòng)譯碼器,在元器件清單上寫的是共陰數(shù)碼管,結(jié)果領(lǐng)器件時(shí)沒有74LS48只好用74LS47代替,在測(cè)試時(shí)數(shù)碼管一直不亮,在檢查連線沒有問題之后,一致認(rèn)為是數(shù)碼管出現(xiàn)問題,經(jīng)過查閱資料跟請(qǐng)教同學(xué),才知道數(shù)碼管應(yīng)該選用共陽的,在替換了數(shù)碼管之后顯示部分才有所顯示。在連線部分也出現(xiàn)了一些問題,將74LS47的輸出與BCD數(shù)碼管的輸入接錯(cuò),導(dǎo)致我們的數(shù)字顯示為亂

22、碼,經(jīng)過仔細(xì)的檢查,我們將接錯(cuò)的線糾正了之后數(shù)碼管才正常顯示。4。4 控制電路的裝調(diào)我們連好電路圖并檢查無誤,但是開關(guān)部分卻不起作用,經(jīng)過排查才發(fā)現(xiàn)時(shí)面包板的問題,在移動(dòng)電路重新插好之后電路正常工作。在控制電路部分我們剛開始給JK觸發(fā)器的CLR直接接高電平,結(jié)果在測(cè)試的時(shí)候我們?cè)O(shè)置的開始開關(guān)不起作用,插上電源后計(jì)數(shù)器就直接開始計(jì)數(shù),而且初始狀態(tài)并非000而是一個(gè)隨機(jī)的數(shù)字,說明計(jì)數(shù)器剛一開始沒有達(dá)到一個(gè)穩(wěn)定的狀態(tài)。經(jīng)過老師和同學(xué)的指點(diǎn),我們?cè)贑LR端加電阻接高電平加電容接地,使得初始狀態(tài)達(dá)到一個(gè)穩(wěn)定的狀態(tài)000。4。5整體電路裝調(diào)在整體電路的裝調(diào)過程中,將之前獨(dú)立的部分連接起來,將電源與信號(hào)源

23、首先連接起來,然后將顯示及控制部分的接高電平和低電平的地方跟電源連接好。再將信號(hào)源的輸出信號(hào)加在74LS160的CLK端,控制電路中JK觸發(fā)器的Q1端接74LS373的LE端從而達(dá)到鎖存的目的,Q2端接端,做為清零信號(hào).在整體電路的鏈接過程中,由于剛開始的時(shí)候各個(gè)模塊是相對(duì)獨(dú)立的,并且各部分的連線比較復(fù)雜,連線時(shí)要仔細(xì)認(rèn)真,各部分的連接線一定要接在對(duì)應(yīng)位置,布線要合理,面包板的空間比較有限,盡量使得布局看起來整齊簡潔。當(dāng)我們把各自的單元電路圖連接在一起時(shí),就產(chǎn)生了問題,數(shù)碼管雖然顯示正常,但與實(shí)際的秒表誤差太大,因此我們就想辦法,最后我們知道那是信號(hào)源的問題,信號(hào)源的周期有點(diǎn)大,因此我們就調(diào)電

24、位器,當(dāng)調(diào)到70K到75K之間的時(shí)候,數(shù)碼管的顯示才與秒表的誤差不到1秒,最后一個(gè)問題就是接通電源好數(shù)碼管顯示不是三個(gè)0,最后通過大家的意見,在JK觸發(fā)器上加了個(gè)RC振蕩回路后,再試以后,終于成功了。4。6數(shù)據(jù)處理因?yàn)槲覀冃枰?。1秒的信號(hào)源,占空比為1/2.由公式充電時(shí)間:T1=R1CLn2.而放電時(shí)間:T2=R2CLn2。輸出脈沖的占空比為:Q=R1/R1+R2當(dāng)Q=1/2時(shí),R1=R2,電路的振蕩周期為:T=T1+T2=(R1+R2)CLn2=1/2電容C=1uF,代入數(shù)值得:R1=R2=72。15K.4.7 改進(jìn)措施開始設(shè)計(jì)的控制電路給端直接加高電平,結(jié)果使得初始狀態(tài)不穩(wěn)定,最后在端加

25、電阻接高電平并且加電容接地,使得初始狀態(tài)達(dá)到穩(wěn)定值,再將Q2加到74LS160的端.電路圖如圖12所示:圖12清零改進(jìn)圖5、總結(jié)通過這次對(duì)數(shù)字電子鐘的設(shè)計(jì)作,讓我了解了電路設(shè)計(jì)的基本步驟,也讓我了解了關(guān)于數(shù)字鐘的原理與設(shè)計(jì)理念.在這次課程設(shè)計(jì)作業(yè)的過程中由于在設(shè)計(jì)方面我們沒有經(jīng)驗(yàn),理論基礎(chǔ)知識(shí)掌握得不牢固,在設(shè)計(jì)中難免會(huì)出現(xiàn)這樣那樣的問題:在選則74LS160的進(jìn)位及連接方式時(shí),還有74LS373和74LS47的工作原理及管腳圖的諸多方面;其次在確定設(shè)計(jì)方案時(shí),拖了好久,比如所開關(guān)運(yùn)用觸發(fā)器的選擇上面等,同學(xué)們?cè)谶@方面的知識(shí)比較缺乏,幸好得到了同學(xué)的幫助,找到了方法,把問題解決了;再次,在控制

26、電路中也遇到了很多問題,比如說,如何實(shí)現(xiàn)任務(wù)書上的要求的功能如何實(shí)現(xiàn),怎樣用7476來實(shí)現(xiàn)控制電路,在同學(xué)的幫助下逐步解決了。這些都暴露出了前期我在這些方面知識(shí)的欠缺和經(jīng)驗(yàn)的不足,對(duì)于我來說,收獲最大的是方法和能力。這次課設(shè)是我們第一次使用仿真軟件進(jìn)行設(shè)計(jì)的,從這次的仿真中,我發(fā)現(xiàn)仿真和實(shí)際的電路也有不少差別,仿真的電路圖放在實(shí)際中不一定就可以用,因?yàn)榉抡孳浖?huì)幫你把一些細(xì)小部分自動(dòng)完善,但實(shí)際連接的電路就不能按照預(yù)定目標(biāo)實(shí)現(xiàn),比如我們?cè)O(shè)計(jì)的顯示電路在仿真軟件中正常運(yùn)行著,但實(shí)際連好后,卻不能實(shí)現(xiàn)開機(jī)清零功能,最后我們?cè)谕瑢W(xué)的幫助之下把電路進(jìn)行了完善,才得到我們預(yù)期的電路。在整個(gè)課程設(shè)計(jì)的過程中,我發(fā)現(xiàn)我們?cè)诮?jīng)驗(yàn)方面十分缺乏,空有理論知識(shí),沒有理性的知識(shí),有些東西可能與實(shí)際脫節(jié).我們最初的設(shè)計(jì)就因?yàn)槠鞑牡南拗贫鵁o法實(shí)現(xiàn),而且通過對(duì)此課程的設(shè)計(jì),我不但知道了以前不知道

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論