實驗七選多路選擇器設計實驗_第1頁
實驗七選多路選擇器設計實驗_第2頁
實驗七選多路選擇器設計實驗_第3頁
實驗七選多路選擇器設計實驗_第4頁
實驗七選多路選擇器設計實驗_第5頁
已閱讀5頁,還剩9頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、實驗七 4選1多路選擇器設計實驗1、 實驗目的進一步熟悉QuartusII的VHDL文本設計流程、組合電路的設計仿真和測試。2、 實驗原理 四選一多路選擇器設計時,試分別用IF_THEN語句、WHEN_ELSE和CASE語句的表達方式寫出此電路的VHDL程序,要求選擇控制信號s1和s2的數據類型為STD_LOGIC;當s1=0,s0=0;s1=0,s0=1;s1=1,s0=0和s1=1,s0=1時,分別執(zhí)行y<=a、y<=b、y<=c、y<=d。三、程序設計 其示意框圖如下:其中輸入數據端口為a、b、c、d,s1、s2為控制信號,Y為輸出。 令s0s1=“00”時,輸出

2、y=a; 令s0s1=“01”時,輸出y=b; 令s0s1=“10”時,輸出y=c;令s0s1=“11 時,輸出y=d; 4 選 1 數 據 選 擇 器 a 輸入 b y 數據 c d s0 s1真值表如下:輸入輸出x s0 s1 y a 0 0 ab 0 1 bc 1 0 c d 1 1 d4、 VHDL仿真實驗(1)用IF_THEN語句設計4選1多路選擇器 1.建立文件夾D:alteralEDAzuoyeif_mux41,啟動QuartusII軟件工作平臺,打開并建立新工程管理窗口,完成創(chuàng)建工程。圖1 利用New Project Wizard創(chuàng)建工程mux41 2.打開文本編輯。NEWVH

3、DL File相應的輸入源程序代碼存盤為mux41.vhd.。圖2 選擇編輯文件類型源程序代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 ISPORT(a,b,c,d:IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC);END ENTITY mux41;ARCHITECTURE if_mux41 OF mux41 IS SIGNAL s0s1:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN s0s1<=s0&am

4、p;s1; PROCESS(s0s1,a,b,c,d) BEGIN IF s0s1="00" THEN y<=a; ELSIF s0s1="01" THEN y<=b; ELSIF s0s1="10" THEN y<=c; ELSE y<=d; END IF;END PROCESS;END ARCHITECTURE if_mux41; 3.綜合運行,檢查設計是否正確。圖3 全程編譯無錯后的報告信息4.生成symbol。圖4 生成symbol5.建立波形編輯文件進行功能仿真,仿真結果如下圖所示。圖5 設置時鐘CL

5、K的周期圖6 仿真波形輸出報告 從上圖時序仿真可以看出: s0s1=“00”時,輸出y=a; s0s1=“01”時,輸出y=b; s0s1=“10”時,輸出y=c; s0s1=“11 時,輸出y=d;6.查看RTL電路。選擇Tools->Netlist Viewers->RTL Viewers命令,即HDL的RTL級圖形觀測器,選擇好后即自動彈出計數器設計的RTL電路,如下圖:圖7 RTL電路圖(2)用WHEN_ELSE語句設計4選1多路選擇器1.建立文件夾D:alteralEDAzuoyewhen_mux41,啟動QuartusII軟件工作平臺,打開并建立新工程管理窗口,完成創(chuàng)建

6、工程。圖1 利用New Project Wizard創(chuàng)建工程mux41 2.打開文本編輯。NEWVHDL File相應的輸入源程序代碼存盤為mux41.vhd.。圖2 選擇編輯文件類型源程序代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 ISPORT(a,b,c,d:IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC);END ENTITY mux41;ARCHITECTURE when_mux41 OF mux41 IS SIGNAL s:S

7、TD_LOGIC_VECTOR(1 DOWNTO 0);BEGINs<=s0&s1; y<=a WHEN s="00" ELSE b WHEN s="01" ELSE c WHEN s="10" ELSE d WHEN s="11" ELSE '0'END when_mux41; 3.綜合運行,檢查設計是否正確。圖3 全程編譯無錯后的報告信息4.生成symbol。圖4 生成symbol5.建立波形編輯文件進行功能仿真,仿真結果如下圖所示。圖5 設置時鐘CLK的周期圖6 仿真波形輸

8、出報告 從上圖時序仿真可以看出: s0s1=“00”時,輸出y=a; s0s1=“01”時,輸出y=b; s0s1=“10”時,輸出y=c; s0s1=“11 時,輸出y=d;6.查看RTL電路。選擇Tools->Netlist Viewers->RTL Viewers命令,即HDL的RTL級圖形觀測器,選擇好后即自動彈出計數器設計的RTL電路,如下圖:圖7 RTL電路圖 (3)用CASE語句設計4選1多路選擇器1.建立文件夾D:alteralEDAzuoyecase_mux41,啟動QuartusII軟件工作平臺,打開并建立新工程管理窗口,完成創(chuàng)建工程。圖1 利用New Proj

9、ect Wizard創(chuàng)建工程mux41 2.打開文本編輯。NEWVHDL File相應的輸入源程序代碼存盤為mux41.vhd.。圖2 選擇編輯文件類型源程序代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 ISPORT(a,b,c,d:IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC);END ENTITY mux41;ARCHITECTURE case_mux41 OF mux41 IS SIGNAL s0s1:STD_LOGIC_VECT

10、OR(1 DOWNTO 0); BEGIN s0s1<=s0&s1; PROCESS(s0s1,a,b,c,d) BEGIN CASE s0s1 IS WHEN"00"=>y<=a; WHEN"01"=>y<=b; WHEN"10"=>y<=c; WHEN"11"=>y<=d; WHEN OTHERS=>NULL; END CASE; END PROCESS; END ARCHITECTURE case_mux41; 3.綜合運行,檢查設計是否正確。圖3 全程編譯無錯后的報告信息4.生成symbol。圖4 生成symbol5.建立波形編輯文件進行功能仿真,仿真結果如下圖所示。圖5 設置時鐘CLK的周期圖6 仿真波形輸出報告 從上圖時序仿真可以看出: s0s1=“00”時,輸出

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論